easic Technology & Nextreme Architecture

Size: px
Start display at page:

Download "easic Technology & Nextreme Architecture"

Transcription

1 easic Technology & Nextreme Architecture Tomer Kabakov Director of Sales Tel:

2 easic at a Glance Fabless Semiconductor Company Provider of Structured ASIC Products Founded in 1999 Headquarters in Santa Clara, California R&D facilities in Romania and Malaysia Worldwide sales and design support teams Shipping chips to customers and generating revenues Private company, funded by venture capital firms and private investors (including: Vinod Khosla, Kleiner Perkins Caufield and Byers (KPCB), Crescendo Ventures, and Evergreen Partners)

3 The easic Advantage Affordable Customization ~40 unique layers Large upfront cost ($25M) 18 month design cycle 48% probability of respin 12 week turn-around Expensive design tools No unique layers High power High unit cost The Advantage One unique layer Small upfront costs ($K) 2 month design cycle Low respin probability 4 week turn-around Low tools cost Ability to differentiate Create multiple derivatives Up to 20% of the power Up to 40% of the cost

4 Nextreme Structured ASIC Introduction Up to 358K Logic ecells Up to 790 User I/Os Up to 5.6Mbits of bram Configurable I/Os Up to 5.6Mbits of eram 1.2V, 1.3V Core Options Up to 8 PLLs No Minimum Quantity 4-weeks from Tapeout to Silicon No Mask Charges

5 Nextreme Design Win Sample Cell phone Projector Security Video Surveillance Broadcast Camera NVR/DVR Military Communications Multi-computing Image Processing Wireless Basestations Instrumentation Gateways

6 At Last an ASIC Alternative to FPGA Can you give up multiple times electrically reprogrammable for: A chip that is 10% to 60% the unit price of an FPGA 10% the power of an FPGA Up to 4x performance improvement over an FPGA How? - Late stage via and bit stream structured ASIC NX750 (90nm) 55K ecells ~800K Gates Drawn to Scale Virtex-4 (90nm) XCVLX60 53K Logic Cells ~800K Gates

7 Nextreme: Disruptive Technology easic s Divide and Conquer customization method: Logic is customized with Bit-stream or with single Via-mask Routing is customized with single Via-mask or - maskless (ebeam) 7

8 Time to Production Breakthrough SL Reprogrammable LUT Maximum flexibility Shortest turnaround time Ideal for prototypes OR VL Fixed LUT No external bitstream Instant On No inrush current Ideal for production Identical Devices Two Tape-out Options

9 Low Manufacturing Cost and Risk No Minimum Order Quantity Wafer Sharing Prototypes for Design A Low Volume Production for Design B Low Volume Production for Design C 4-week Turnaround Higher Volume Production for Design D

10 Nextreme Family Details Gates* ecells Distributed RAM (Max.) eram eram Block RAM bram bram PLLs Max. User I/O Blocks Bits Blocks Bits NX750LP 350,000 26, K K NX ,000 55, K K NX1500 1,500, , K K NX2500 2,500, , K K NX4000 4,000, , K K NX5000 5,000, , K K * Combination of Logic and Memory

11 Static Power Comparison Standby Power S180 LX220 LX330 SL340 Altera Stratix II Altera Stratix III 0.9V Xilinx Virtex 5 LX Xilinx Virtex 4 LX easic Nextreme NX Hardcopy 1 Hardcopy 2 Power (W) S60 S90 S130 LX110 SL110 SL200 LX200 SL150 LX160 HC240 Sources: easic: Nextreme Power Estimator easic: NX1500 NX5000 Measured Altera: PowerPlay Early Power Estimator Xilinx: XPower Early Power Estimator Notes: a. 1 Altera Logic Element = 1 Xilinx Logic Cell = 1 easic ecell b. Typical Conditions LX100 S30 LX30 HC1S25 LX60 HC210 NX750 LX80 HC220 HC1S80 NX1500 HC230 NX2500 NX4000 NX5000 Logic Elements

12 Dynamic Power Comparison 80K LC/LE/eCells 12.5% Activity Typical Conditions Virtex 4 Stratix-II Hardcopy Virtex 5 Stratix-III Hardcopy 2 Nextreme

13 Video Processing Power Consumption Bake-off easic Power Supply Video Camera Virtex Power Supply Fan Nextreme NX4000 VL Xilinx Virtex-4 LX200 easic Board FPGA Board 13

14 Nextreme 13X to 15X Lower Power Than FPGAs Virtex-4 LX200 FPGA Nextreme NX4000 VL Structured ASIC Power Consumption Improvement Using Nextreme Static Power: No Clocks 768 mw 120 mw 6X Total Power: RGB Filter (Algorithm 1) RGB Filter (Algorithm 2) RGB Filter (Algorithm 3) RGB Filter (Algorithm 4) 4.8 W 360 mw 13X 5.83 W 420 mw 14X 5.66 W 370 mw 15X 5.72 W 380 mw 15X 14

15 Nextreme Architecture 15

16 Nextreme Technology; ecell ecell Components 2x 3-input LUT 2x 2-input NAND MUX DFF Output drivers Configuration jumpers 16

17 Nextreme Hierarchy - ecore and bram ecell eunit (256 ecells) U3 U1 X Decoder U4 U2 ecore (8 eunits) Y Decoder Y Decoder + U5 U6 U7 X Decoder U8 bram 32Kbits of bram Configurable as 1K x 32 2K x 16 4K x 8 8K x 4 16K x 2 32K x 1 can be configured as logic or 16-bits eram 256 ecells or 4Kb eram 2048 ecells or 32Kb eram

18 Nextreme Technology; Device Layout ecore Global Clocks bram ecorerow eiobank emµ 18

19 Nextreme Summary Low Development Cost No Mask Charges No MOQ Free Diamond Processors FPGA like Tool Cost Structured ASIC Custom Logic Performance HD Encoding in Hardware capabilities ARM926 at 175MHz Low Power In battery applications today 10-20X lower power than FPGAs IDE IDE X8 PCI Express X8 PCI Express JPEG2000 HD H.264 Enc. Dec Image Enhancement USB2.0 OTG PCI DDR2 Rapid Changes/Derivatives 2-6 week design turn 4 weeks manufacturing Rapid software changes using Diamond Processors Cost Competitive ARM926EJ AHB Arbiter/Timer/GPIO DCT idct SATA 10/100/1G Time to Market Cell-based ASIC like unit cost Simple FPGA like design flow IP library: Diamond Processors, peripherals, interfaces etc. Industry standard µp tools/kits

20 Thank You 20

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien

High-Tech-Marketing. Selecting an FPGA. By Paul Dillien High-Tech-Marketing Selecting an FPGA By Paul Dillien The Market In 2011 the total PLD market was $4.97B The FPGA portion was worth $4.1B 2 FPGA Applications The dominant applications have always been

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

A Test-Centric Approach to ASIC Development for MEMS

A Test-Centric Approach to ASIC Development for MEMS A Test-Centric Approach to ASIC Development for MEMS MÅRTEN VRÅNES DIRECTOR, CONSULTING SERVICES CONSULTING SERVICES GROUP MEMS JOURNAL, INC. C: 707.583.3711 MVRAANES@MEMSJOURNAL.COM 4 th Annual MTR Conference

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Introduction to FPGA design Rakesh Gangarajaiah Rakesh.gangarajaiah@eit.lth.se Slides from Chenxin Zhang and Steffan Malkowsky WWW.FPGA What is FPGA? Field

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Building a Controller That Can Handle Any Type of Flash

Building a Controller That Can Handle Any Type of Flash Building a Controller That Can Handle Any Type of Flash Brent Przybus Sr. Director easic Corporation Santa Clara, CA 1 A Changing Flash Enabled Landscape Flash Is Needed for Enterprise Storage Flash is

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Scrypt ASIC Prototyping Preliminary Design Document

Scrypt ASIC Prototyping Preliminary Design Document Scrypt ASIC Prototyping Preliminary Design Document 1/13 Revision History Version Date Author Remarks Approved by v0.1 2/13 Contents 1 Scrypt Algorithm... 5 2 Major blocks in a Scrypt core... 6 3 Internal

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy

Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy White Paper Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy Introduction This document compares power consumption and power estimation accuracy for Altera Stratix II FPGAs and Xilinx Virtex-4

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05 System on a Chip Technologies Rich Sevcik Executive Vice President, Xilinx A Top-Ranking Company Forbes Best Managed Semiconductor Company (2004) FORTUNE Best Companies to Work For (2001-2004) Highest

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications Hot Chips August 2009 Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications PMP / Games Netbooks Pico Projectors Smart Phones Power? DSC Cost? New Features? Size? epaper ebook Time

More information

Advanced Digital Design Using FPGA. Dr. Shahrokh Abadi

Advanced Digital Design Using FPGA. Dr. Shahrokh Abadi Advanced Digital Design Using FPGA Dr. Shahrokh Abadi 1 Venue Computer Lab: Tuesdays 10 12 am (Fixed) Computer Lab: Wednesday 10-12 am (Every other odd weeks) Note: Due to some unpredicted problems with

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL A novel SDK that opens up the world of FPGAs to today s developers Altera Technology Roadshow 2013 Today s News Altera today announces its SDK for OpenCL Altera Joins Khronos Group

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

discrete logic do not

discrete logic do not Welcome to my second year course on Digital Electronics. You will find that the slides are supported by notes embedded with the Powerpoint presentations. All my teaching materials are also available on

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA system development What you need to think about. Frédéric Leens, CEO FPGA system development What you need to think about Frédéric Leens, CEO About Byte Paradigm 2005 : Founded by 3 ASIC-SoC-FPGA engineers as a Design Center for high-end FPGA and board design. 2007 : GP

More information

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini Sept 2010

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini  Sept 2010 DINI Group FPGA-based Cluster computing with Spartan-6 Mike Dini mdini@dinigroup.com www.dinigroup.com Sept 2010 1 The DINI Group We make big FPGA boards Xilinx, Altera 2 The DINI Group 15 employees in

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368 CH-Merge with LVDS HD-SDI for TI EVM TMDXEVM8148 and TMDXEVM368 VIM-HDSDI TMDXEVM8148 cable length over 100m Multi channel HD-SDI DDR3 TMS320DM8148(1GHz Cortex A8, 750MHz C674x ) Dual 32bit DDR3 of 1GB,

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle

Implementing Video and Image Processing Designs Using FPGAs. Click to add subtitle Implementing Video and Image Processing Designs Using FPGAs Click to add subtitle Agenda Key trends in video and image processing Video and Image Processing Suite Model-based design for video processing

More information

Xilinx Corporate Overview

Xilinx Corporate Overview Xilinx Corporate Overview Safe Harbor Disclaimer During the course of this presentation, we may provide projections or other forward-looking statements regarding future events and/or future financial performance.

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

The Ultimate System Integration Platform. VIRTEX-5 FPGAs

The Ultimate System Integration Platform. VIRTEX-5 FPGAs The Ultimate System Integration Platform VIRTEX-5 FPGAs THE WORLD S FIRST 5nm FPGA One Family Multiple Platforms The Virtex -5 family of FPGAs offers a choice of four new platforms, each delivering an

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Cyclone III LS FPGAs Altera Corporation Public

Cyclone III LS FPGAs Altera Corporation Public Cyclone III LS FPGAs Introducing Cyclone III LS Devices Low power 200K LE for under 0.25 Watt TSMC 60-nm low-power (LP) process Quartus II software power-aware design flow Broadcast Industrial Military

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Advanced course on Embedded Systems design using FPGA

Advanced course on Embedded Systems design using FPGA Advanced course on Embedded Systems design using FPGA Subramaniam Ganesan, Phares A. Noel, Ashok Prajapati Oakland University, ganesan@oakland.edu, panoel@oakland.edu, akprajap@oakland.edu Abstract-As

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Video Processing Technologies and Challenges for Mil/Aero Applications

Video Processing Technologies and Challenges for Mil/Aero Applications Video Processing Technologies and Challenges for Mil/Aero Applications January 2014 Version 1.3 16230 Monterey St. STE 204, Morgan Hill, CA 95037, USA - Tel: (408) 706-5975 - alan.simmonds@ces-cal.com

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs PLAs & PALs Programmable Logic Devices (PLDs) PLAs and PALs PLAs&PALs By the late 1970s, standard logic devices were all the rage, and printed circuit boards were loaded with them. To offer the ultimate

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

EE290 A: Advanced Topics in CAD

EE290 A: Advanced Topics in CAD EE290 A: Advanced Topics in CAD Professors Kurt Keutzer and Richard Newton Department of Electrical Engineering and Computer Sciences University of California at Berkeley Spring 1999 1 HW1: Back of Envelope

More information

ATF280E A Rad-Hard reprogrammable FPGA

ATF280E A Rad-Hard reprogrammable FPGA ATF280E A Rad-Hard reprogrammable FPGA ESA/ESTEC 3 rd Microelectronics Presentation Days 2007 Valérie Ho-Shui-Ling Thibaud Gaillard Overview ATMEL rad-hard FPGA family The ATF280E FPGA ATMEL FPGA architecture

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Hybrid LUT/Multiplexer FPGA Logic Architectures

Hybrid LUT/Multiplexer FPGA Logic Architectures Hybrid LUT/Multiplexer FPGA Logic Architectures Abstract: Hybrid configurable logic block architectures for field-programmable gate arrays that contain a mixture of lookup tables and hardened multiplexers

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Choosing a Processor: Benchmarks and Beyond (S043)

Choosing a Processor: Benchmarks and Beyond (S043) Insight, Analysis, and Advice on Signal Processing Technology Choosing a Processor: Benchmarks and Beyond (S043) Jeff Bier Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 info@bdti.com

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

WaferBoard Rapid Prototyping

WaferBoard Rapid Prototyping WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

CEL ZigBee TM / Application Brief

CEL ZigBee TM / Application Brief A p p l i c a t i o n Br i e f AB1001 CEL ZigBee TM /802.15.4 Application Brief Data communication path in HVAC Systems utilizing wireless ZigBee Modules Introduction Building/Factory owners are faced

More information

Security IP-Cores. AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing. l e a d i n g t h e w a y

Security IP-Cores. AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing. l e a d i n g t h e w a y AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing l e a d i n g t h e w a y l e a d i n g t h e w a y Secure your sensitive content, guarantee its integrity and

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION

VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION May 2016 Lattice Semiconductor 111 5 th Ave., Suite 700 Portland, Oregon 97204 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments DSP Solutions For High Quality Video Systems Todd Hiers Texas Instruments TI Video Expertise Enables Faster And Easier Product Innovation TI has a long history covering the video market from end to end

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

October 16, Q Investor Presentation Svenn-Tore Larsen, CEO Pål Elstad, CFO

October 16, Q Investor Presentation Svenn-Tore Larsen, CEO Pål Elstad, CFO October 16, 2015 Svenn-Tore Larsen, CEO Pål Elstad, CFO Summary Q3 2015: 2 Record MUSD 53.9 revenue in quarter despite the previously announced guidance Strong Bluetooth Smart Sales at MUSD 34.2 Improvements

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Benefits of Network on Chip Fabrics

Benefits of Network on Chip Fabrics Benefits of Network on Chip Fabrics For Late Stage Design Changes, Adaptive QoS and Floorplan Selection Xavier Van Ruymbeke Senior Application Engineer, Arteris xavier.van-ruymbeke@arteris.com Arteris

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary

Xilinx 7 Series FPGA Power Benchmark Design Summary Xilinx 7 Series FPGA Power Benchmark Design Summary June 1 Copyright 1 1 Xilinx Xilinx Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

iw-rainbow-g3 / G3V FAQs:

iw-rainbow-g3 / G3V FAQs: iw-rainbow-g3 / G3V FAQs: Processor / Memory / Configurations: 1. What is iw-rainbow-g3? It is a Design Solution based on Freescale s i.mx27 /ARM9 processor running at 400MHz core frequency. This can be

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information