e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text

Size: px
Start display at page:

Download "e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text"

Transcription

1 e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text In this lecture, serial port communication will be discussed in detail. Serial and parallel communication will be compared and contrasted, and RS232 standard will be discussed. 1. Introduction Data transfer is the physical transfer of data, over a point-to-point or point-to-multipoint communication channel. While analog transmission is the transfer of a continuously varying analog signal over an analog channel, digital communications is the transfer of discrete messages over a digital or an analog channel. Data transmitted may be digital messages originating from a data source, for example a computer or a keyboard. It may also be an analog signal such as a phone call or a video signal, digitized into a bit-stream for example using pulse-code modulation (PCM) or more advanced source coding schemes. Data is transferred by computer in two ways: Parallel - 8 or more lines are used to transfer data to a device that is only a short distance. Serial - If data is to be transferred for long distance, serial communication is used. The data is sent one bit at a time. Figure 1.1 Types of Data Transfer

2 1.1 Parallel communication In parallel transmission, multiple bits are sent simultaneously on different channels within the same cable, or radio path, and synchronized to a clock. Parallel devices have a wider data bus than serial devices and can therefore transfer data in words of one or more bytes at a time. As a result, there is a speedup in parallel transmission bit rate over serial transmission bit rate. However, this speedup is a tradeoff versus cost since multiple wires cost more than a single wire, and as a parallel cable gets longer, the synchronization timing between multiple channels becomes more sensitive with distance. The timing for parallel transmission is provided by a constant clocking signal sent over a separate wire within the parallel cable; thus parallel transmission is considered synchronous. 1.2 Serial communication Serial communication is a common method of transmitting data between a computer and a peripheral device such as a programmable instrument or even another computer. Serial communication transmits data one bit at a time, sequentially, over a single communication line to a receiver. It is also a most popular communication protocol that is used by many devices for instrumentation; numerous General Purpose Interface Bus(GPIB) devices also come with an RS- 232 based port. This method is used when data transfer rates are very low or the data must be transferred over long distances and also where the cost of cable and synchronization difficulties makes parallel communication impractical. Serial communication is popular because most computers have one or more serial ports, so no extra hardware is needed other than a cable to connect the instrument to the computer or two computers together. Transmitter converts the byte of data into serial bits using parallel-in-serial-out shift register. Receiver has a serial-in-parallel-out shift register to receive the serial data and pack them into a byte. For Short distance, the digital signal can be transferred on a simple wire without modulation. To transfer data on the telephone line, it must be converted from 0s and 1s to audio tones. The device which performs 0s and 1s to audio tones is called a modem, Modulator/Demodulator. Serial communication has two techniques: 1. Synchronous- transfer block of data(characters) at a time 2. Asynchronous- transfer single byte at a time The following communications are done by hardware: a) USART (universal synchronous-asynchronous Receiver-transmitter) b) UART (universal asynchronous Receiver transmitter) c) 8051 chip has a built-in UART

3 Serial data can be transmitted in three ways: Simplex-It is a communication channel that sends information in one direction only (Fig. 2(a)). Figure 2.(a) Simplex Half duplex : Half-duplex data transmission means that data can be transmitted in both directions on a signal carrier, but not at the same time which is shown in Figure 2(b). Figure 2(b) Half duplex Duplex : Full-duplex data transmission means that data can be transmitted in both directions on a signal carrier at the same time as shown in figure 2(c) Synchronous communication Figure 2(c) Duplex Synchronous communication requires that the clocks in the transmitting and receiving devices are synchronized running at the same rate so the receiver can sample the signal at the same time intervals used by the transmitter. No start or stop bits are required. In synchronous transmission, the stream of data to be transferred is encoded and sent on one line, and a periodic pulse of voltage which is often called the "clock" or "strobe" is put on another line that tells the receiver about the beginning and the ending of each bit (or byte). In general, such

4 synchronous transmission protocols are used for all the types of parallel communications. Figure.3 shows synchronous communication (data 61H) signal along with clock signal. In this the MSB bit first sent and LSB at end. Synchronization can also be embedded into a signal on a single wire. In differential Manchester encoding, used in video-tape systems, each transition from a low to high or high to low represents a logical zero. A logical one is indicated when there are two transitions in the same time frame, i.e, one clock cycle Asynchronous communication Figure 3. Synchronous Communication Asynchronous communication is used for character-oriented transmissions. Each character is placed in between start and stop bits, this is called framing. start bit is always one bit stop bit can be one or two bits start bit is always a 0 (low) stop bit(s) is 1 (high) LSB is sent out first

5 Figure 4. ASynchronous Communication RS232 standards: RS-232 standard is related to serial data communication between host systems, commonly known as Data Terminal Equipment, or DTE and a peripheral system termed, Data communication Equipment (also known as Data Circuit-Terminating Equipment) or DCE. To be more specific, the device that connects to the RS-232 interface is called a Data Communications Equipment (DCE) and the device to which it connects (e.g., the computer) is called a Data Terminal Equipment (DTE). It was first introduced by the Electronics Industry Alliance (EIA) in the early 1960s and is commonly known as RS-232 (Recommended Standard 232). EIA-232 or RS-232 or RS-232 C is a complete serial communication protocol, which specifies signal voltages, signal timing, signal function, pin wiring, and the mechanical connections (i.e.: either 25-pin DB-25 or 9-pin DB-9). In 1987, the EIA released a new version of the standard and changed the name to EIA- 232-D. And in 1991, the EIA teamed up with Telecommunications Industry association (TIA) and issued a new version of the standard called EIA/TIA-232-E. Many people, however, still refer to the standard name as RS-232C, or just RS-232. It is most widely used serial I/O interfacing standard for serial communication. Input and output voltage levels are not TTL compatible. 1 bit

6 is represented by -3 to -25 V and 0 bit is +3 to +25 V and -3 to +3 is undefined. To connect RS232 to a microcontroller system, we must use voltage converters such as MAX232 to convert the TTL logic levels to the RS232 voltage levels, and vice versa. MAX232 IC chips are commonly referred to as line drivers. IBM introduced the DB-9 version of the serial I/O standard. Figure 5. Serial I/O standard The data communication can be achieved through DTE and DCE. 1. DTE (data terminal equipment) refers to terminal and computers that send and receive data 2. DCE (data communication equipment) refers to communication equipment, such as modems 3. Simplest connection between a PC and microcontroller requires a minimum of three pins, TxD, RxD, and ground

7 Figure 6. Null Modem Connection The terms DTE and DCE are very common in the data communications technologies. DTE is short for Data Terminal Equipment and DCE stands for Data Communications Equipment. But what do they really mean? As the full DTE name indicates, this is a piece of device that ends a communication line, whereas the DCE provides a path for communication connection to RS has two pins namely RXD and TXD pins which are used for transferring and receiving data serially. TxD and RxD are part of the port 3 group (P3.0 and P3.1).Pin 11 (P3.1) of 8051 is assigned to TxD. Pin 10 (P3.0) of 8051 is designated as RxD. These pins are TTL compatible. It requires a line driver to make them RS232 compatible. The driver used for this is the MAX232 chip. MAX232 converts from RS232 voltage levels to TTL voltage levels that are acceptable to 8051.It uses a +5 V power source, same as the source voltage of 8051.No dual power supplies are needed. MAX232 has two sets of line drivers for transferring and receiving data. Line drivers used for TxD are called T1 and T2.

8 Inside MAX232 Connection to the 8051 (Null Modem) Figure connection to RS-232 Line drivers used for RxD are designated as R1 and R2. T1 and R1 are used together for TxD and RxD of the Second set is left unused. It requires four capacitors ranging from 1 to 22µF. MAX233 is used to save board space. It performs the same job as the MAX232.It eliminates the need for capacitors. It is much more expensive than the MAX232. Null modem can also be used for interfacing 8051 with outside devices. Connection is very simple compared to other modems. In Null modem we have DCE, DTE and ground pins is there. DTE of 8051 connected to DCE of Null modem. DCE of 8051 should be connected to DTE of Null modem. Ground pin of both should connected. Inside MAX233 Connection to the 8051 (Null Modem) Figure connection to RS-232

9 1.2.5 Serial communication register SBUF is a 8-bit register used for serial communication. To transmit a byte of data via the TxD line, it must be placed in the SBUF register. When a byte is written into SBUF, it is framed with the start and stop bits and transferred serially via the TxD line. SBUF holds the byte of data when it is received by 8051 RxD line. When the bits are received serially via RxD, the 8051 deframes it by removing the stop and start bits, making a byte out of the data received, and then placing it in SBUF. Baud rate in 8051 is programmable. It is done with the help of Timer1 and different oscillators. The baud rate of 8051 system should be matched with the baud rate of the system to be interfaced. Figure 8. SCON register Figure.8 shows the SCON register structure. In this SMO and SM1 bits combined to specify the serial mode. Usually mode 2 is prefered because the UART in 8051 supports this mode. REN bit is used to enable the receiving the serial data. So this bit has to be set to enable serial communication.

10 Figure 8. SCON register(contd) 2. Summary In this lecture basic of serial communication is discussed. The Serial communication concepts with 8051 and RS232 I/O interface standard are discussed. 3. References 1. The 8051 Microcontroller and Embedded Systems Using Assembly and C Second Edition Muhammad Ali Mazidi, Janice Gillispie Mazidi and Rolin D.McKinlay.

8051SERIAL PORT PROGRAMMING

8051SERIAL PORT PROGRAMMING 8051SERIAL PORT PROGRAMMING Basics of Serial Communication Computers transfer data in two ways: Parallel Often 8 or more lines (wire conductors) are used to transfer data to a device that is only a few

More information

Sender Receiver Sender

Sender Receiver Sender EEE 410 Microprocessors I Spring 04/05 Lecture Notes # 19 Outline of the Lecture Interfacing the Serial Port Basics of Serial Communication Asynchronous Data Communication and Data Framing RS232 and other

More information

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved.

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Serial Communication Prof. James L. Frankel Harvard University Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Overview of the Serial Protocol Simple protocol for communicating

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all

Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all bits of a data word are transformed simultaneously. This

More information

Chapter 11: Input/Output Organisation. Lesson 05: Asynchronous RS232C Serial Port data transfer

Chapter 11: Input/Output Organisation. Lesson 05: Asynchronous RS232C Serial Port data transfer Chapter 11: Input/Output Organisation Lesson 05: Asynchronous RS232C Serial Port data transfer Objective Understand the RS232C asynchronous data transfer and signals Learn the RS232C serial port communication

More information

MCS-51 Serial Port A T 8 9 C 5 2 1

MCS-51 Serial Port A T 8 9 C 5 2 1 MCS-51 Serial Port AT89C52 1 Introduction to Serial Communications Serial vs. Parallel transfer of data Simplex, Duplex and half-duplex modes Synchronous, Asynchronous UART Universal Asynchronous Receiver/Transmitter.

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Programming in Assembly Module No: CS/ES/12 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Programming in Assembly Module No: CS/ES/12 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Programming in Assembly Module No: CS/ES/12 Quadrant 1 e-text In this lecture, serial communication control register

More information

ELEG3923 Microprocessor Ch.10 Serial Port Programming

ELEG3923 Microprocessor Ch.10 Serial Port Programming Department of Electrical Engineering University of Arkansas ELEG3923 Microprocessor Ch.10 Serial Port Programming Dr. Jingxian Wu wuj@uark.edu OUTLINE 2 Basics of Serial Communication Serial port programming

More information

Serial Interfaces Part 1. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Serial Interfaces Part 1. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Serial Interfaces Part 1 ECE 153B Sensor & Peripheral Interface Design Serial Interfaces Simple Serial Interfaces RS-232C (UART) Provides for point to point communications, primarily Among the simplest

More information

8051 Serial Communication

8051 Serial Communication 8051 Serial Communication Basics of serial communication Parallel: transfers eight bits of data simultaneously over eight data lines expensive - short distance fast Serial : one bit at a time is transferred

More information

8051 Timers and Serial Port

8051 Timers and Serial Port 8051 Timers and Serial Port EE4380 Fall 2001 Class 10 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Timer: Mode 1 Operation (recap) 16 bit counter. Load the

More information

Fig.12.5 Serial Data Line during Serial Communication

Fig.12.5 Serial Data Line during Serial Communication Lecture-66 Asynchronous Serial Data Communication A serial data signal is divided into time intervals called bit times as shown in fig.2.5. During each bit time interval (T B ), the signal is either a

More information

1. Pin diagram of 8051 and ports

1. Pin diagram of 8051 and ports e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Programming parallel ports Module No: CS/ES/9 Quadrant 1 e-text In this lecture pin diagram of 8051 controller will be shown and

More information

8051 Serial Port. EE4380 Fall02 Class 10. Pari vallal Kannan. Center for Integrated Circuits and Systems University of Texas at Dallas

8051 Serial Port. EE4380 Fall02 Class 10. Pari vallal Kannan. Center for Integrated Circuits and Systems University of Texas at Dallas 8051 Serial Port EE4380 Fall02 Class 10 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Serial Comm. - Introduction Serial Vs Parallel Transfer of data Simplex,

More information

Serial communication

Serial communication Serial communication CSCI 255: Introduction to Embedded Systems Keith Vertanen Copyright 2011 Serial communication Terminology RS-232 protocol Baud rates Flow control Example Overview Develop functions

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 Quadrant 1 e-text In this lecture interfacing of external devices

More information

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation CoE3DJ4 Digital Systems Design Chapter 5: Serial Port Operation Serial port 8051 includes an on-chip serial port Hardware access to the port is through TXD and RXD (Port 3 bits 1 and 0) Serial port is

More information

RS-232 DATA COMMUNICATIONS WITH THE TANDY COLOR COMPUTER

RS-232 DATA COMMUNICATIONS WITH THE TANDY COLOR COMPUTER By Tom Gunnison 1998 DISCLAIMER These plans and software are provided "as is" with no guarantee of fitness for the purpose either explicit or implied. I disclaim any responsibility for losses incurred

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 7 Design of Microprocessor-Based Systems Matt Smith University of Michigan Serial buses, digital design Material taken from Brehob, Dutta, Le, Ramadas, Tikhonov & Mahal 1 Timer Program //Setup Timer

More information

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM).

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Lab # 6 Serial communications & EEPROM Objectives To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Serial Communications Serial

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Timers Material taken from Dreslinski, Dutta, Le, Ramadas, Smith, Tikhonov & Mahal 1 Agenda A bit on timers Project overview

More information

UNIT-V COMMUNICATION INTERFACE

UNIT-V COMMUNICATION INTERFACE UNIT-V COMMUNICATION INTERFACE SERIAL DATA TRANSFER INTRODUCTION Data transmission, digital transmission or digital communications is the physical transfer of data (a digital bit stream) over a point-to-point

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

Serial I-O for Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai (version 14/10/07)

Serial I-O for Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai (version 14/10/07) Serial I-O for 8051 Dinesh K. Sharma Electrical Engineering Department I.I.T. Bombay Mumbai 400 076 (version 14/10/07) 1 Motivation Serial communications means sending data a single bit at a time. But

More information

4.3 Digital Communication

4.3 Digital Communication Data Acquisition & Computer Control 11 4.3 Digital Communication Why digital communication (often termed digital input/output, or DIO)? Because the language of computers (and many peripheral devices) is

More information

Embedded Systems and Software. Serial Communication

Embedded Systems and Software. Serial Communication Embedded Systems and Software Serial Communication Slide 1 Using RESET Pin on AVRs Normally RESET, but can be configured via fuse setting to be general-purpose I/O Slide 2 Disabling RESET Pin on AVRs Normally

More information

SARDAR RAJA COLLEGES SARDAR RAJA COLLEGE OF ENGINEERING ALANGULAM

SARDAR RAJA COLLEGES SARDAR RAJA COLLEGE OF ENGINEERING ALANGULAM SARDAR RAJA COLLEGES SARDAR RAJA COLLEGE OF ENGINEERING ALANGULAM DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING MICRO LESSON PLAN SUBJECT NAME : MICROPROCESSOR AND MICRO CONTROLLER SUBJECT CODE

More information

Embedded Systems and Software

Embedded Systems and Software Embedded Systems and Software Serial Communication Serial Communication, Slide 1 Lab 5 Administrative Students should start working on this LCD issues Caution on using Reset Line on AVR Project Posted

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Introduction to Computing Module No: CS/ES/1 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Introduction to Computing Module No: CS/ES/1 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Introduction to Computing Module No: CS/ES/1 Quadrant 1 e-text About the course : In this digital world, embedded systems are more

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Microcontrollers and Embedded Processors Module No: CS/ES/2 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Microcontrollers and Embedded Processors Module No: CS/ES/2 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Microcontrollers and Embedded Processors Module No: CS/ES/2 Quadrant 1 e-text In this module, microcontrollers and embedded processors

More information

Parallel-to-Serial and Serial-to-Parallel Converters

Parallel-to-Serial and Serial-to-Parallel Converters Session 1532 Parallel-to-Serial and Serial-to-Parallel Converters Max Rabiee, Ph.D., P.E. University of Cincinnati Abstract: Microprocessors (MPUs) on a computer motherboard communicate in a parallel format

More information

ELE492 Embedded System Design

ELE492 Embedded System Design Overview ELE9 Embedded System Design Examples of Human I/O Interfaces Types of System Interfaces Use of standards RS Serial Communication Overview of SPI, I C, L, and CAN Class //0 Eugene Chabot Examples

More information

Input-Output Organization

Input-Output Organization Ted Borys - CSI 404 5/1/2004 Page 11-1 Section 11 Input-Output Organization ASCII Character Set 94 printable characters Upper & lowercase letters 10 numerals Special characters such as $, @, #, % 34 control

More information

Informatics for industrial applications

Informatics for industrial applications Informatics for industrial applications Lecture 5 - Peripherals: USART and DMA Martino Migliavacca martino.migliavacca@gmail.com October 20, 2011 Outline 1 Introduction to USART Introduction Synchronous

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 10: Serial buses October 2, 2014 Some material from: Brehob, Le, Ramadas, Tikhonov & Mahal 1 Announcements Special

More information

Course 10: Interfaces Agenda

Course 10: Interfaces Agenda Course 10: Interfaces 1 Agenda Introduction V.24 interface (RS232) USB 2 Introduction 3 Definition(s) (from the web) A boundary across which two independent systems meet and act on or communicate with

More information

Growing Together Globally Serial Communication Design In Embedded System

Growing Together Globally Serial Communication Design In Embedded System Growing Together Globally Serial Communication Design In Embedded System Contents Serial communication introduction......... 01 The advantages of serial design......... 02 RS232 interface......... 04 RS422

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

Asynchronous Transmission. Asynchronous Serial Communications & UARTS

Asynchronous Transmission. Asynchronous Serial Communications & UARTS Asynchronous Transmission Asynchronous Serial Communications & UARTS 55:036 Embedded Systems and Systems Software asynchronous: transmitter and receiver do not share a common clock or explicitly coordinate

More information

Microcontrollers. Fig. 1 gives a comparison of a microprocessor system and a microcontroller system.

Microcontrollers. Fig. 1 gives a comparison of a microprocessor system and a microcontroller system. Syllabus: : Introduction to, 8051 Microcontroller Architecture and an example of Microcontroller based stepper motor control system (only Block Diagram approach). (5 Hours) Introduction to A microcontroller

More information

Serial Interfacing. Asynchronous Frame

Serial Interfacing. Asynchronous Frame Serial Interfacing Serial Data Transfer used by keyboards, plotters, modems and other peripherals with low data transfer rates (low bandwidth) 2 Types: Asynchronous CPU and device are not using a common

More information

Serial I/O. 4: Serial I/O. CET360 Microprocessor Engineering. J. Sumey

Serial I/O. 4: Serial I/O. CET360 Microprocessor Engineering. J. Sumey 4: Serial I/O CET360 Microprocessor Engineering J. Sumey Introduction serial, i.e. bit-at-a-time, interfacing techniques are useful when parallel interfacing limitations become problematic distance limitations

More information

Microcontroller & Interfacing

Microcontroller & Interfacing Course Title Course Code Microcontroller & Interfacing EC406 Lecture : 3 Course Credit Practical : 1 Tutorial : 0 Total : 4 Course Objective At the end of the course the students will be able to Understand

More information

UNIT 2-Data Communication Concept

UNIT 2-Data Communication Concept UNIT 2-Data Communication Concept 2. a) Define: i) Non-return to zero codes 2015 June ii) RZ Codes b) List out the difference between serial and parallel transmission. c) What are the advantages of Asynchronous

More information

Serial Communication. Spring, 2018 Prof. Jungkeun Park

Serial Communication. Spring, 2018 Prof. Jungkeun Park Serial Communication Spring, 2018 Prof. Jungkeun Park Serial Communication Serial communication Transfer of data over a single wire for each direction (send / receive) Process of sending data one bit at

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 135 Serial Communication Simplex Half-Duplex Duplex 136 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

Chapter Four. Making Connections. Data Communications and Computer Networks: A Business User s Approach Seventh Edition

Chapter Four. Making Connections. Data Communications and Computer Networks: A Business User s Approach Seventh Edition Chapter Four Making Connections Data Communications and Computer Networks: A Business User s Approach Seventh Edition After reading this chapter, you should be able to: List the four components of all

More information

Hierarchy of I/O Control Devices

Hierarchy of I/O Control Devices Hierarchy of I/O Control Devices 8155 I/O + Timer 2 Port (A,B), No Bidirectional HS mode (C) 4 mode timer 8253/54 Timer 6 mode timer 8255 I/O 2 Port (A,B) A is Bidirectional HS mode (C) Extra controls

More information

EASY219 / IEC CANopen Master / Slave

EASY219 / IEC CANopen Master / Slave General Description The EASY219 is an all round high performance DIP- Chip PLC based on the Infineon C164 controller. It covers the powerful PLC runtime system CoDeSys and a CANopen master or slave in

More information

ENG-7680: SCADA Laboratory Experiments

ENG-7680: SCADA Laboratory Experiments ENG-7680: SCADA Laboratory Experiments 1 RS485 LINE DRIVER 1.1 OBJECTIVES In this lab, you will learn how to test a differential data bus driver/receiver for a multi-point communication. In particular,

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

Select a Data Communication Interface

Select a Data Communication Interface Printer Setup and Operation Select a Data Communication Interface Select a Data Communication Interface You may connect your print engine to a computer using one or more of the available connections. The

More information

Interrupt Programming: Interrupts vs. Polling Method:

Interrupt Programming: Interrupts vs. Polling Method: UNIT 4: INTERRUPT PROGRAMMING & SERIAL COMMUNICATION WITH 8051: Definition of an interrupt, types of interrupts, Timers and Counter programming with interrupts in assembly. 8051 Serial Communication: Data

More information

Environmental Data Acquisition Using (ENC28J60)

Environmental Data Acquisition Using (ENC28J60) Environmental Data Acquisition Using (ENC28J60) Joshi Vaibhav Abstract -- Ethernet is a local area technology, which is used for reliable and efficient transfer and access of information across the devices

More information

GSM Based Electronics Notice Board

GSM Based Electronics Notice Board GSM Based Electronics Notice Board Prerana Chauhan*, Shikhar Agarwal, Hem Kumar Singh, Ashish Saini* Department of Electronic Engineering, Moradabad Institute of Technology, Moradabad, (UP) India Article

More information

chapter 3: PC based ECG acquisition system

chapter 3: PC based ECG acquisition system chapter 3: PC based ECG acquisition system This chapter describes development of a Data Acquisition System (DAS) for single lead ECG signal in a computer for storage and further processing. A microcontroller

More information

(renamed the "EIA232 Standard" in the early 1990 s)

(renamed the EIA232 Standard in the early 1990 s) Contents (renamed the "EIA232 Standard" in the early 1990 s) Written by Christopher E. Strangio Copyright 1993-2003 by CAMI Research Inc., Lexington, Massachusetts Send Us Your Comments... What is EIA232?

More information

Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition

Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition Pearson Education Limited Edinburgh Gate Harlow Essex CM20 2JE England and Associated Companies throughout the world Visit us on the

More information

Advantages and disadvantages

Advantages and disadvantages Advantages and disadvantages Advantages Disadvantages Asynchronous transmission Simple, doesn't require synchronization of both communication sides Cheap, timing is not as critical as for synchronous transmission,

More information

Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad

Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad Objectives To be familiar with microcontrollers, PIC18F4550 microcontroller. Tools PIC18F4550 Microcontroller, MPLAB software,

More information

TRAINING GUIDE LEVEL 3 MODBUS WRITE IMPORT COMMAND

TRAINING GUIDE LEVEL 3 MODBUS WRITE IMPORT COMMAND OleumTechTM TRAINING GUIDE LEVEL 3 MODBUS WRITE IMPORT COMMAND MUST BE FAMILIAR WITH LEVEL 1 TRAINING MATERIALS BEFORE MOVING FORWARD Doc ID# 80-6010-001b TABLE OF CONTENTS 1. WHAT IS NEW WRITE IMPORT

More information

PD215 Mechatronics. Week 3/4 Interfacing Hardware and Communication Systems

PD215 Mechatronics. Week 3/4 Interfacing Hardware and Communication Systems PD215 Mechatronics Week 3/4 Interfacing Hardware and Communication Systems Interfacing with the physical world A compute device (microprocessor) in mechatronic system needs to accept input information

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

3 PHASE FAULT ANALYSIS WITH AUTO RESET FOR TEMPORARY FAULT AND TRIP FOR PREMANENT

3 PHASE FAULT ANALYSIS WITH AUTO RESET FOR TEMPORARY FAULT AND TRIP FOR PREMANENT e-issn 2455 1392 Volume 3 Issue 4, April 2017 pp. 80 84 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com 3 PHASE FAULT ANALYSIS WITH AUTO RESET FOR TEMPORARY FAULT AND TRIP FOR PREMANENT

More information

AC : INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT

AC : INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT AC 2007-1527: INFRARED COMMUNICATIONS FOR CONTROLLING A ROBOT Ahad Nasab, Middle Tennessee State University SANTOSH KAPARTHI, Middle Tennessee State University American Society for Engineering Education,

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

USART. USART stands for Universal Synchronous Asynchronous Receiver Transmitter. Full-duplex NRZ asynchronous serial data transmission

USART. USART stands for Universal Synchronous Asynchronous Receiver Transmitter. Full-duplex NRZ asynchronous serial data transmission USART 1 USART USART stands for Universal Synchronous Asynchronous Receiver Transmitter Full-duplex NRZ asynchronous serial data transmission Offer wide ranges of baud rate 2 Serial communication Can support

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

SCB-C08 USB to RS232/422/485 Converter

SCB-C08 USB to RS232/422/485 Converter SCB-C08 USB to RS232/422/485 Converter USB Interface RS-232 signal RS-422 signal: RS-485 signal: Cable Type Transmission distance Signal LED Direct power from USB port Power consumption: Compliant with

More information

Integrity Instruments Application Notes. Release 1

Integrity Instruments Application Notes. Release 1 Integrity Instruments Application Notes Release 1 What is EIA/TIA/RS-485 What is EIA/TIA/RS-422 Half Duplex and Full Duplex Communication Asynchronous Communicatin Grounding EIA/TIA/RS-485/422 Shielding

More information

Data Communications & Computer Networks. Digital Data Communications Techniques

Data Communications & Computer Networks. Digital Data Communications Techniques Data Communications & Computer Networks Chapter 6 Digital Data Communications Techniques Fall 2008 Agenda Preface Asynchronous & Synchronous transmission Error Detection & Correction Line configurations

More information

EET203 MICROCONTROLLER SYSTEMS DESIGN Serial Port Interfacing

EET203 MICROCONTROLLER SYSTEMS DESIGN Serial Port Interfacing EET203 MICROCONTROLLER SYSTEMS DESIGN Serial Port Interfacing Objectives Explain serial communication protocol Describe data transfer rate and bps rate Describe the main registers used by serial communication

More information

Data Communication/MIDI. Juan P Bello

Data Communication/MIDI. Juan P Bello Data Communication/MIDI Juan P Bello MIDI The Musical Instrument Digital Interface (MIDI) is a standard for communication between electronic musical instruments, which has also been applied to a larger

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT IV I/O INTERFACING PART A (2 Marks)

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT IV I/O INTERFACING PART A (2 Marks) MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI-621213. UNIT IV I/O INTERFACING PART A (2 Marks) 1. Name the three modes used by the DMA processor to transfer data? [NOV/DEC 2006] Signal transfer mode (cycling

More information

Maxim > Design Support > Technical Documents > Application Notes > Microcontrollers > APP 4465

Maxim > Design Support > Technical Documents > Application Notes > Microcontrollers > APP 4465 Maxim > Design Support > Technical Documents > Application Notes > Microcontrollers > APP 4465 Keywords: MAXQ, MAXQ610, UART, USART, serial, serial port APPLICATION NOTE 4465 Using the Serial Port on the

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

Parallel IO. Serial IO. Parallel vs. Serial IO. simplex vs half-duplex vs full-duplex. Wires: Full Duplex. Wires: Simplex, Half-duplex.

Parallel IO. Serial IO. Parallel vs. Serial IO. simplex vs half-duplex vs full-duplex. Wires: Full Duplex. Wires: Simplex, Half-duplex. Parallel IO Parallel IO data sent over a group of parallel wires. Typically, a clock is used for synchronization. D[15:0] clk Serial IO Serial IO data sent one bit at a time, over a single wire. A clock

More information

8051 Microcontroller

8051 Microcontroller 8051 Microcontroller The 8051, Motorola and PIC families are the 3 leading sellers in the microcontroller market. The 8051 microcontroller was originally developed by Intel in the late 1970 s. Today many

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

1. LCD (Liquid Crystal Display)interface

1. LCD (Liquid Crystal Display)interface e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: I/O devices Interfacing Module No: CS/ES/16 Quadrant 1 e-text In this lecture, the interfacing of 8051 with an output device and

More information

Chapter C2051 Architecture and Serial Communication Link

Chapter C2051 Architecture and Serial Communication Link Chapter- 2 89C2051 Architecture and Serial Communication Link ABSTRACT This chapter provides the details of 89C2051 microcontroller and description on Serial Communication Facility presented by 89C2051

More information

Experiment# 8: Photo-Interrupter Control

Experiment# 8: Photo-Interrupter Control Experiment# 8: Photo-Interrupter Control I. Objective 1. Study the schematic diagram of photo interrupter (PH1, and PH2) and the pulse generator circuit. 2. To demonstrate the control applications of photo-interrupter,

More information

Serial Interfacing. Pulse width of 1 bit

Serial Interfacing. Pulse width of 1 bit ١ ٢ Asynchronous Frame 10 bits 7E1 (7 data bits, even parity, 1 stop bit) Serial Data Transfer used by keyboards, plotters, modems and other peripherals with low data transfer rates (low bandwidth) * *

More information

EEM336 Microprocessors I. I/O Interface

EEM336 Microprocessors I. I/O Interface EEM336 Microprocessors I I/O Interface Introduction Basic I/O interface Handshaking process Serial and Parallel communication I/O interface examples 2 Chapter Objectives Upon completion of this chapter,

More information

POWER THEFT DETECTION USING WIRELESS SYSTEM: A DISTRIBUTED APPROACH FOR POWER THEFT PREVENTION

POWER THEFT DETECTION USING WIRELESS SYSTEM: A DISTRIBUTED APPROACH FOR POWER THEFT PREVENTION POWER THEFT DETECTION USING WIRELESS SYSTEM: A DISTRIBUTED APPROACH FOR POWER THEFT PREVENTION 1 Amar Pawade, 2 Nadeem Shaikh, 3 Bhushan Chowdhri, 4 Ansari Shariq Department of Electronics, MHSSCOE 1 chowdhrib@yahoo.com,

More information

or between microcontrollers)

or between microcontrollers) : Communication Interfaces in Embedded Systems (e.g., to interface with sensors and actuators or between microcontrollers) Spring 2016 : Communication Interfaces in Embedded Systems Spring (e.g., 2016

More information

PPP. Point-to-Point Protocol

PPP. Point-to-Point Protocol PPP Point-to-Point Protocol 1 Introduction One of the most common types of WAN connection is the point-to-point connection. Point-to-point connections are used to connect LANs to service provider WANs,

More information

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed

Features: Analog to Digital: 12 bit resolution TTL outputs, RS-232 tolerant inputs 4.096V reference (1mV/count) 115K max speed The Multi-I/O expansion board gives users the ability to add analog inputs and outputs, UART capability (for GPS or modem) and isolated high current outputs to the Flashlite 386Ex. Available in several

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Microcontroller Based Data Acquisition System

Microcontroller Based Data Acquisition System Microcontroller Based Data Acquisition System Sayantan Dutta Department of Applied Electronics and Instrumentation Engineering, University Institute of Technology, Burdwan University Rishabh Das Department

More information

DEV-1 HamStack Development Board

DEV-1 HamStack Development Board Sierra Radio Systems DEV-1 HamStack Development Board Reference Manual Version 1.0 Contents Introduction Hardware Compiler overview Program structure Code examples Sample projects For more information,

More information

Learn how to communicate

Learn how to communicate USART 1 Learn how to communicate Programmed I/O (Software Polling) Interrupt Driven I/O Direct Memory Access (DMA) 2 Programmed I/O (Polling) Processor must read and check I/O ready bits for proper value

More information

Serial Communications

Serial Communications 1 Serial Interfaces 2 Embedded systems often use a serial interface to communicate with other devices. Serial Communications Serial implies that it sends or receives one bit at a time. Serial Interfaces

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information