SoC Platforms and CPU Cores

Size: px
Start display at page:

Download "SoC Platforms and CPU Cores"

Transcription

1 SoC Platforms and CPU Cores COE838: Systems on Chip Design Dr. Gul N. Khan Electrical and Computer Engineering Ryerson University Overview SoC Platforms -- Xilinx Zynq-7000 SoC platform. Altera Cyclone(Arria)-V SoC platforms, DE1-SoC. ARM Cortex A9 Specs and Applications. Cortex-A9 Micro-architecture. Neon Media Processing Engine. SoC Platforms, and Cortex A9 Data and some Material from Chapter 3 of the Text by M.J. Flynn

2 SoC Platforms SoC Platforms can be of two categories: SoC FPGA Commercial and Specific SoC platforms employed by Apple, Samsung, Siemens, etc. SoC FPGA Platforms: Zynq-7000 by Xilinx Cyclone-V and Arria-V platforms by Altera G. Khan SoC Platform and CPU Cores Page: 2

3 Xilinx SoC Development Platforms G. Khan SoC Platform and CPU Cores Page: 3

4 Zynq SoC G. Khan SoC Platform and CPU Cores Page: 4

5 ZYNQ Platforms 7000 AVB: Audio Video Bridging G. Khan SoC Platform and CPU Cores Page: 5

6 Zynq 7000 SoC Platform CPU Core Processor Extensions L1 Cache L2 Cache On-Chip Memory Memory Interfaces Peripherals Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Dual ARM Cortex -A9 MPCore with CoreSight NEON & Single / Double Precision Floating Point for each processor 32 KB Instruction, 32 KB Data per processor 512 KB 256 KB DDR3, DDR3L, DDR2, LPDDR2, 2x Quad-SPI, NAND, NOR 2x USB 2.0 (OTG), 2x Tri-mode Gigabit Ethernet, 2x SD/SDIO Logic Cells 28K 74K 85K 125K 275K 350K 444K Block RAM 240 KB 380 KB 560 KB 1,060 KB 2,000 KB 2,180 KB 3,020 KB (Mb) DSP Slices ,020 Transceiver up to 4 up to 16 up to 16 up tp 16 4 (6.25 Gb/s) Count (12.5 Gb/s) (12.5 Gb/s) (12.5 Gb/s) (10.3 Gb/s) G. Khan SoC Platform and CPU Cores Page: 6

7 Cortex A9 Processing Configuration G. Khan SoC Platform and CPU Cores Page: 7

8 Cortex A9 based Processing System Programmable SoCs through dual Cortex A9 Hardened peripherals includes Ethernet, I2C, USB, and CAN; XPS support design clock domain setup, interrupts, DMAs, etc. External connections for the hardened peripherals AXI Interfaces Systems, peripherals, trace and debug clocks Hardened Zynq-EPP peripheral interfaces Interrupts Peripheral connections to Multiplexed I/O G. Khan SoC Platform and CPU Cores Page: 8

9 Cortex-A9 based Processing System AXI Interfaces General-Purpose 32-bit AXI Master/Slave Ports High-Speed 32/64-bit AXI Slave Ports 64-bit AXI Accelerator Coherency Port General purpose DMA controllers Systems, peripherals, trace and debug clocks Interrupts Peripheral connections to Multiplexed I/O G. Khan SoC Platform and CPU Cores Page: 9

10 Cortex A9 based Processing System Hardened Zynq-EPP peripheral interfaces Quad SPI/SRAM/NOR/NAND Flash Gigabit Ethernet USB 2.0 Secure Digital UART I2C SPI CAN GPIO Interrupts Peripheral connections to Multiplexed I/O G. Khan SoC Platform and CPU Cores Page: 10

11 Zynq-7000 AP SoC Development Tools G. Khan SoC Platform and CPU Cores Page: 11

12 Altera SoC Family FPGA G. Khan SoC Platform and CPU Cores Page: 12

13 Cyclone-V SX SoC FPGA Cyclone-V FPGA family is based on 1.1-V, 28nm technology High performance designs and SoC prototyping G. Khan SoC Platform and CPU Cores Page: 13

14 Stratix-V GX Family Stratix-V FPGA family is based on 0.85-V, 28-nm. High performance designs, high logic and memory-density designs, as well as ASIC prototyping. G. Khan SoC Platform and CPU Cores Page: 14

15 Arria-V SX SoC FPGA Arria-V FPGA family is based on 1.1V, 28nm It can prototype/implement ARM Cortex A9 CPU based SoCs G. Khan SoC Platform and CPU Cores Page: 15

16 Avalon Bus Module The Avalon bus module (an Avalon bus) is a unit of active logic that takes the place of passive, metal bus lines on a physical PCB. G. Khan SoC Platform and CPU Cores Page: 16

17 Avalon Bus Avalon bus is on-chip bus architecture that accommodate the SOC environment. The interface to peripherals is synchronous with the Avalon clock. Multiplexers (not tri-state buffers) inside the bus determine which signals drive which peripheral. Peripherals are never required to tri-state their outputs. Address, data and control signals use separate, dedicated ports. It simplifies the design of peripherals as they don t need to decode address and data bus cycles as well as disable its outputs when it is not selected. G. Khan SoC Platform and CPU Cores Page: 17

18 Avalon Bus based System Module System Module integrated with user Logic into an Altera FPGA. G. Khan SoC Platform and CPU Cores Page: 18

19 Slave Arbitrator Avalon bus module contains one slave arbitrator for each shared slave port. Slave arbitrator performs the following. Defines control, address, and data paths from multiple master ports to the slave port and specifies the arbitration mechanism to use when multiple masters contend for a slave at the same time. At any given time, selects which master port has access to the slave port and forces all other contending masters (if any) to wait, based on the arbitration assignments. Controls the slave port - based on address, data & control signals presented by the currently selected master port. G. Khan SoC Platform and CPU Cores Page: 19

20 Altera Arria-V SoC Platform ARM -based hard processor system (HPS) consisting of processor, peripherals, and memory interfaces with the FPGA fabric using a high-bandwidth interconnect backbone. G. Khan SoC Platform and CPU Cores Page: 20

21 Cyclone-V SoC Platform Cyclone-V SoCs reduce system power, cost, and board size while increasing system performance by integrating discrete processor, FPGA, and DSP functions into a single, user customizable ARM-based (SoC) G. Khan SoC Platform and CPU Cores Page: 21

22 Arria HPS: Hard Processor System 1.05 GHz, dual-core ARM Cortex-A9 MPCore processor including: 32 KB of L1 instruction cache, 32 KB of L1 data cache Single/double-precision FPU and NEON TM media engine 512 KB of shared L2 cache with error correction code (ECC) support 64 KB of scratch RAM with ECC support Multiport SDRAM controller with support for DDR2, DDR3, etc. QSPI and NAND flash controller with DMA SD/SDIO/MMC controller with DMA 2x 10/100/1000 Ethernet media access control (MAC) with DMA 2x USB On-The-Go (OTG) controller with DMA 4x I 2 C controller and 2x UART 2x (SPI) master peripherals, 2x SPI slave peripherals Up to 134 general-purpose I/O (GPIO) 7x general-purpose timers and 4 watchdog timers G. Khan SoC Platform and CPU Cores Page: 22

23 Cyclone HPS (Hard Processor System 925 MHz, dual-core ARM Cortex-A9 MPCore processor including: 32 KB of L1 instruction cache, 32 KB of L1 data cache Single/double-precision FPUand NEON TM media engine 512 KB of shared L2 cache with error correction code (ECC) support 64 KB of scratch RAM with ECC support Multiport SDRAM controller with support for DDR2, DDR3, etc. QSPI and NAND flash controller with DMA SD/SDIO/MMC controller with DMA 2x 10/100/1000 Ethernet media access control (MAC) with DMA 2x USB On-The-Go (OTG) controller with DMA 4x I 2 C controller and 2x UART 2x (SPI) master peripherals, 2x SPI slave peripherals Up to 134 general-purpose I/O (GPIO) 7x general-purpose timers and 4 watchdog timers G. Khan SoC Platform and CPU Cores Page: 23

24 HPS-to-FPGA Interconnect HPS and the FPGA can operate independently. Tightly coupled via AMBA bridges: IP bus masters in FPGA fabric have access to HPS bus slaves. HPS bus masters have access to bus slaves in FPGA fabric. Both bridges are AMBA AXI-3 compliant and support simultaneous read and write transactions. o Additional 32-bit light-weight HPS-to-FPGA bridge with low latency i/f between HPS and peripherals in FPGA fabric. o Six FPGA masters can share the HPS SDRAM controller with ARM Cortex A9 processor. o A9 processor can be used to configure FPGA fabric under program control via a dedicated 32-bit port. G. Khan SoC Platform and CPU Cores Page: 24

25 HPS-to-FPGA Interconnect HPS-to-FPGA: configurable 32-, 64-, or 128-bit AMBA AXI interface optimized for high bandwidth FPGA-to-HPS: configurable 32-, 64-, or 128-bit AMBA AXI interface optimized for high bandwidth Lightweight HPS-to-FPGA: 32-bit AMBA AXI interface optimized for low latency FPGA-to-HPS SDRAM controller: Configurable multiport interfaces with 6 command ports, 4x 64-bit read data ports and 4x 64-bit write data ports ~32-bit FPGA configuration manager G. Khan SoC Platform and CPU Cores Page: 25

26 DE1 SoC G. Khan SoC Platform and CPU Cores Page: 26

27 DE1-SoC Cyclone-V SoC 5CSEMA5F31 Device Dual-core ARM Cortex-A9 (HPS) 85K programmable logic elements 4,450Kbits embedded memory 6 fractional PLLs 2 hard memory controllers Quad serial configuration device EPCQ256 On-board USB-Blaster II 64MB (32Mx16) SDRAM on FPGA 1GB (2x256Mx16) DDR3 SDRAM on HPS Micro SD card socket on HPS G. Khan SoC Platform and CPU Cores Page: 27

28 DE1-SoC HPS HPS Hard Processor System 800MHz Dual-core ARM Cortex-A9 MPCore processor 1GB DDR3 SDRAM (32-bit data bus) 1 Gigabit Ethernet 2-port USB Host Micro SD card socket Accelerometer (I2C interface + interrupt) UART to USB Warm reset and cold reset A user LED G. Khan SoC Platform and CPU Cores Page: 28

29 SoC Model and Processors Idealized (conservative performance) processors selected by function and real-time requirements G. Khan SoC Platform and CPU Cores Page: 29

30 SoC Processor Selection The process of CPU selection is limited as there can be a real time requirement that must be met by one of the processors or an accelerator: This will become a primary consideration at an early stage in the initial SOC design phase. The processor selection and parameterization result in an initial SoC deign. Initial SoC design appears to fully satisfy all the functional and performance requirements set out in the specifications. Such design has some advantages. Cost reduction in terms of system - level integration Design reuse Creating an exact fit for a CPU/peripheral combination Providing future expansion protection against discontinuation G. Khan SoC Platform and CPU Cores Page: 30

31 Processor Core Selection G. Khan SoC Platform and CPU Cores Page: 31

32 Soft Processors OpenRISC. A free and open - source soft - core processor. Leon4. Another free and open - source soft - core processor that implements a complete SPARC v8 (ISA) OpenSPARC. SPARC T1 core supports 1-4 threads on FPGAs Nios II(fast) MicroBlaze OpenRISC Leon4 Open source No No Yes Yes Hardware FPU Yes Yes No Yes Bus standard Avalon CoreConnect WISHBONE AMBA Integer div. unit Yes Yes No Yes Custom Yes Yes Yes Yes coprocessor/insts. Maximum freq on FPGA (MHz) Max-MIPS on/fpga Resources 1800 LE 1650 slices 2900 slices 4000 slices Area estimate 1500 A 800 A 1400 A 1900 A G. Khan SoC Platform and CPU Cores Page: 32

33 Soft Processors OpenRISC: A free and open - source soft - core processor. Leon4: Another free and open - source soft - core processor that implements a complete SPARC v8 OpenSPARC: SPARC T1 core supports 1-4 threads on FPGAs These Processors are based on a 32-bit Reduced Instruction Set Computer (RISC) architecture Single-issue 5-stage pipelines and have configurable datainstruction caches Support for the gnu (GCC) compiler tool chain. They also feature bus architectures suitable for adding extra processing units as slaves or masters. Some even go further and allow the addition of custom instructions and/or coprocessors. G. Khan SoC Platform and CPU Cores Page: 33

34 More Soft Processors G. Khan SoC Platform and CPU Cores Page: 34

35 SoC Processor: ARM Cortex A9 Implementation size, performance, and very low power consumption are the key attributes of ARM architecture. ARM CPUs are RISC type Load/store architecture Simple addressing The ARM Cortex-A9 processor is the high performance choice from a family of low power, cost-sensitive CPU devices. The Cortex-A9 micro-architecture is delivered either as a Cortex-A9 single core processor or a scalable multi-core processor i.e. Cortex-A9 MPCore processor. G. Khan SoC Platform and CPU Cores Page: 35

36 Not to scale ARM Public Processor Roadmap ARM 7, 9, 11 Application Real-time Microcontroller ARM11 (MPCore) ARM926EJ-S ARM9 EmbeddedICE CoreSight ~600 to 1 GHz 200+ MHz Cortex-R4F Cortex-R4 Up to 2.5 GHz Up to 2 GHz Cortex-A8 Cortex-A9 (MPCore) Cortex-A5 Cortex-A57 Cortex-A53 Cortex-A15 Cortex-A9 (Dual) Cortex-A7 Cortex-R7 Cortex-R5 V8 (64 Bit ARM7 ARM7TDMI 200+ MHz Cortex-M MHz Cortex -M3 SC300 Cortex-M1 Cortex-M0Cortex-M0+ 50 MHz Cortex-M0 DesignStart

37 Cortex-A9 Usage A 32-bit system-on-chip designed by Apple and manufactured by Samsung. A5 consists of a dual-core ARM Cortex-A9 CPU with NEON SIMD accelerator and a dual core GPU. G. Khan SoC Platform and CPU Cores Page: 37

38 Cortex-A9 Usage Up to 2GHz Quad-core ARM Cortex-A9 MPCore G. Khan SoC Platform and CPU Cores Page: 38

39 Dual Core A9 CPU for the SoC DE1-SoC MPU subsystem includes: Two Cortex-A9 processors L2 cache and memory subsystem SCU: Snoop Control Unit Accelerator Coherency Port (ACP) Debug functions G. Khan SoC Platform and CPU Cores Page: 39

40 G. Khan SoC Platform and CPU Cores Page: 40

41 Cortex-A9 Micro-architecture Rename Issue Execute Writeback Decode Instruction Fetch Memory G. Khan SoC Platform and CPU Cores Page: 41

42 x G. Khan SoC Platform and CPU Cores Page: 42

43 - G. Khan SoC Platform and CPU Cores Page: 43

44 G. Khan SoC Platform and CPU Cores Page: 44

45 Issue can be fed a maximum of 2 instructions/cycle Issue can dispatch up to 4 instructions per cycle Out of order selection of instructions from the queue G. Khan SoC Platform and CPU Cores Page: 45

46 G. Khan SoC Platform and CPU Cores Page: 46

47 NEON technology supports instructions targeted primarily at audio, video, 3D graphics, image and speech processing. G. Khan SoC Platform and CPU Cores Page: 47

48 The Cortex-A9 NEON MPE (Media Processing Engine) features: SIMD and scalar single-precision floating-point computation Scalar double-precision floating-point computation SIMD and scalar half-precision floating-point conversion 8, 16, 32, and 64-bit signed and unsigned integer SIMD computation 8 or 16-bit polynomial computation for single-bit coefficients Large, shared register file, addressable as: * thirty-two 32-bit S (single) registers * thirty-two 64-bit D (double) registers * sixteen 128-bit Q (quad) registers. G. Khan SoC Platform and CPU Cores Page: 48

49 G. Khan SoC Platform and CPU Cores Page: 49

50 -1/2 G. Khan SoC Platform and CPU Cores Page: 50

51 G. Khan SoC Platform and CPU Cores Page: 51

52 G. Khan SoC Platform and CPU Cores Page: 52

53 Instruction Cache Data Cache Instruction Cache Data Cache Instruction Cache Data Cache Instruction Cache Data Cache Accelerator Coherency Port L2 Cache Main Memory G. Khan SoC Platform and CPU Cores Page: 53

54 CPU D$ I$ AXI RW 64-bit bus Cortex A9 MPcore CPU D$ I$ SCU AXI RW 64-bit bus L2 Cache Main Memory ACP Virtually Indexed, Physically Tagged Physically Indexed, Physically Tagged G. Khan SoC Platform and CPU Cores Page: 54

55 Cortex A9 MPcore CPU CPU D$ I$ D$ I$ SCU ACP AXI RW 64-bit bus L2 Cache AXI RW 64-bit bus Main Memory G. Khan SoC Platform and CPU Cores Page: 55

56 SCU connects 1-4 Cortex-A9 processor cores to memory system through AXI interfaces. D$ I$ AXI RW 64-bit bus D$ I$ AXI RW 64-bit bus The SCU is an integral part of the cache memory systems and its functions are: Maintain data cache coherency between the Cortex-A9 processors Initiate L2 AXI memory accesses Arbitrate between Cortex-A9 processor cores requesting L2 accesses Manage ACP accesses. L2 Cache Main Memory The Cortex-A9 SCU does not support hardware management of coherency of the instruction cache. G. Khan SoC Platform and CPU Cores Page: 56

57 G. Khan SoC Platform and CPU Cores Page: 57

58 G. Khan SoC Platform and CPU Cores Page: 58

59 ACP allows level-3 interconnect masters e.g. ethernet media access controller, DMA and FPGA-to-HPS bridge to share data coherently with CPU. Read accesses to coherent memory regions always return the most current data, whether in L1, L2 cache, or the main memory. Similarly, write operations to coherent memory regions cause the SCU to forward coherent data to memory system. The ACP ID mapper is located between the L3 interconnect and the ACP. The ARM ACP port is designed to support up to 8 unique transactions concurrently, where FPGA fabric may have any number of masters requesting coherent transactions. G. Khan SoC Platform and CPU Cores Page: 59

60 Coherent Memory, SCU and ACP SCU maintains bidirectional coherency among the L1 data caches ensuring both CPUs access the most recent data. When a CPU writes to coherent memory location, SCU ensures that the data is coherent. SCU also monitors read operations from a coherent memory location. If the required data is already stored in the L1 caches, the data is returned directly to the requesting CPU. If the data is not in the L1 cache, the L2 cache checks its contents before the data is finally retrieved from the main memory. G. Khan SoC Platform and CPU Cores Page: 60

61 The Interrupt Controller is a single functional unit, which is located in a Cortex-A9 MPCore. G. Khan SoC Platform and CPU Cores Page: 61

62 GIC is responsible for centralizing all the interrupt sources before dispatching them to each individual Cortex-A9 processor core. There is one interrupt interface per Cortex- A9 processor core. The Interrupt Controller is memorymapped. The Cortex-A9 processors access it by using a private interface through the SCU. G. Khan SoC Platform and CPU Cores Page: 62

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

5. ARM 기반모니터프로그램사용. Embedded Processors. DE1-SoC 보드 (IntelFPGA) Application Processors. Development of the ARM Architecture.

5. ARM 기반모니터프로그램사용. Embedded Processors. DE1-SoC 보드 (IntelFPGA) Application Processors. Development of the ARM Architecture. Embedded Processors 5. ARM 기반모니터프로그램사용 DE1-SoC 보드 (IntelFPGA) 2 Application Processors Development of the ARM Architecture v4 v5 v6 v7 Halfword and signed halfword / byte support System mode Thumb instruction

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1 ARM Cortex-A9 ARM v7-a A programmer s perspective Part1 ARM: Advanced RISC Machine First appeared in 1985 as Acorn RISC Machine from Acorn Computers in Manchester England Limited success outcompeted by

More information

Cyclone V Device Overview

Cyclone V Device Overview 2014.10.06 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

The ARM Cortex-A9 Processors

The ARM Cortex-A9 Processors The ARM Cortex-A9 Processors This whitepaper describes the details of the latest high performance processor design within the common ARM Cortex applications profile ARM Cortex-A9 MPCore processor: A multicore

More information

Cyclone V Device Overview

Cyclone V Device Overview CV-500 Subscribe Feedback The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

1. Overview for Cyclone V Device Family

1. Overview for Cyclone V Device Family 1. Overview for Cyclone V Device Family November 2011 CV-51001-1.1 CV-51001-1.1 Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements;

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

ECE 471 Embedded Systems Lecture 3

ECE 471 Embedded Systems Lecture 3 ECE 471 Embedded Systems Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 September 2018 Announcements New classroom: Stevens 365 HW#1 was posted, due Friday Reminder:

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 September 2015 Announcements HW#1 will be posted today, due next Thursday. I will send out

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 4 September 2014 Announcements HW#1 will be posted tomorrow (Friday), due next Thursday Working

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a design in hardware, and at least 3 advantages of implementing the remaining portions of the design in

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications XMC-ZU1 XMC Module Xilinx Zynq UltraScale+ MPSoC Overview PanaTeQ s XMC-ZU1 is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq UltraScale+ integrates a Quad-core

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

MYD-C437X-PRU Development Board

MYD-C437X-PRU Development Board MYD-C437X-PRU Development Board MYC-C437X CPU Module as Controller Board Two 0.8mm pitch 100-pin Connectors for Board-to-Board Connections Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors 512MB DDR3

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

FPGA memory performance

FPGA memory performance FPGA memory performance Sensor to Image GmbH Lechtorstrasse 20 D 86956 Schongau Website: www.sensor-to-image.de Email: email@sensor-to-image.de Sensor to Image GmbH Company Founded 1989 and privately owned

More information

MYC-C437X CPU Module

MYC-C437X CPU Module MYC-C437X CPU Module - Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors - 512MB DDR3 SDRAM, 4GB emmc Flash, 32KB EEPROM - Gigabit Ethernet PHY - Power Management IC - Two 0.8mm pitch 100-pin Board-to-Board

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

Cyclone V SoC HPS Release Notes

Cyclone V SoC HPS Release Notes 2014.12.15 RN-CVHPS Subscribe These release notes cover v. 13.0 through v. 14.1 of the Altera Cyclone V system on a chip (SoC) hard processor system (HPS). These release notes describe the following topics:

More information

The Growing Designer Productivity Gap

The Growing Designer Productivity Gap RAM Interface 1981 1985 1989 1993 1997 2001 2005 2009 2013 2017 2021 CprE 488 Embedded Systems Design Lecture 2 Embedded Platforms The Growing Designer Productivity Gap Embedded systems today are characterized

More information

CprE 488 Embedded Systems Design. Lecture 2 Embedded Platforms

CprE 488 Embedded Systems Design. Lecture 2 Embedded Platforms CprE 488 Embedded Systems Design Lecture 2 Embedded Platforms Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Don t reinvent

More information

ELC4438: Embedded System Design ARM Embedded Processor

ELC4438: Embedded System Design ARM Embedded Processor ELC4438: Embedded System Design ARM Embedded Processor Liang Dong Electrical and Computer Engineering Baylor University Intro to ARM Embedded Processor (UK 1990) Advanced RISC Machines (ARM) Holding Produce

More information

Offloading Collective Operations to Programmable Logic

Offloading Collective Operations to Programmable Logic Offloading Collective Operations to Programmable Logic Martin Swany (With thanks to Omer Arap) Center for Research in Extreme Scale Computing (CREST) Department of Intelligent Systems Engineering Indiana

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi Power Matters. TM RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi chowdhary.musunuri@microsemi.com RIC217 1 Agenda A brief introduction

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Embedded Computing Platform. Architecture and Instruction Set

Embedded Computing Platform. Architecture and Instruction Set Embedded Computing Platform Microprocessor: Architecture and Instruction Set Ingo Sander ingo@kth.se Microprocessor A central part of the embedded platform A platform is the basic hardware and software

More information

Designing a Multi-Processor based system with FPGAs

Designing a Multi-Processor based system with FPGAs Designing a Multi-Processor based system with FPGAs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer / Consultant Cereslaan

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

AHB monitor. Monitor. AHB bridge. Expansion AHB ports M1, M2, and S. AHB bridge. AHB bridge. Configuration. Smart card reader SSP (PL022)

AHB monitor. Monitor. AHB bridge. Expansion AHB ports M1, M2, and S. AHB bridge. AHB bridge. Configuration. Smart card reader SSP (PL022) The ARM RealView Versatile family of development boards provide a feature rich prototyping system for system-on-chip designs. This family includes the first development board to support both the ARM926EJ-S

More information

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications

NanoMind Z7000. Datasheet On-board CPU and FPGA for space applications NanoMind Z7000 Datasheet On-board CPU and FPGA for space applications 1 Table of Contents 1 TABLE OF CONTENTS... 2 2 OVERVIEW... 3 2.1 HIGHLIGHTED FEATURES... 3 2.2 BLOCK DIAGRAM... 4 2.3 FUNCTIONAL DESCRIPTION...

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

Hardware Specification. Figure 1-2 ZYNQ-7000 Device Family 2 / 9

Hardware Specification. Figure 1-2 ZYNQ-7000 Device Family 2 / 9 Z-turn Board 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 16MB SPI Flash USB_UART, USB2.0 OTG, 1 x 10/100/1000Mbps Ethernet,

More information

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline EECS150 - Digital Design Lecture 13 - Accelerators Oct. 10, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information