Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Size: px
Start display at page:

Download "Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye"

Transcription

1 Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1

2 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400 Series What s inside the box Coherency, virtualization, end-to-end QoS Compute sub-systems Any questions? 2

3 Once Upon a Time Over 20 Years Ago 3

4 Compute Trends Clients & the Cloud Personal computing in the post-smartphone era has changed everything Every screen is connected to the cloud Connected life with presence = my services must follow me Power budget want to do more within the same budget Software, security, camera as user interface, augmented reality What gets processed where is a function of bandwidth Technology implications: CPU/GPU/VPU throughput, memory sub-system, software programmer s model Hardware must be built to meet evolving software requirements 4

5 Bringing It All Together It s all about the system! Coherency, virtualization, non-blocking & hierarchical interconnect, power management, end-to-end QoS Software wants to see hardware as resources: Details e.g. registers pah! Want common API s e.g. OpenCL Software community want standardization of hardware resources Hardware assistance in the right place improves consistency & software portability system optimization is key and not just the CPU 5

6 ARM Easy to Select the Right System IP We call it the CoreLink 400 Series So lets take a closer look at what s inside the box Cache Coherent Interconnect CCI-400 Dual cluster CCI - 2 ACE/3 ACE-Lite ports, QVN, QoS, virtualization signalling, barriers, clock gating1 System IP for Cortex-A15 & Mali-T604 Cache Coherent Interconnect Coherent caches shared by processors & I/O AMBA 4 coherency extensions GIC-400 Mali-T604 Non-blocking virtual networks graphics New high efficiency Quad Quad Cortex-A15 Cortex-A15 memory controller 1/2/4 >90% interface utilization LPDDR2/DDR3 Product Name Headline Features System MMU for Hierarchical network interconnect, improved clock and Network Interconnect NIC-400 I/O virtualization Dynamic Memory Controller power management, AXI4 and APB4 support DMC-400 PHY PHY Quality of Service Enhanced bandwidth regulation Network Interconnect DDR3/LPDDR2 DDR3/LPDDR2 for SoC connectivity Thin Links Point-to-point packetization - reduce wiring congestion Reduced routing and power, end-to-end QoS QOS Virtual Networks Avoid cross-stream AND head-of-line blocking Compute subsystems designed and optimized by ARM I/O device Cache Coherent Interconnect CCI Series Network Interconnect NIC-400 Slaves Video Network Interconnect NIC-400 Slaves LCD Dynamic Memory Controller System Memory Management Generic Interrupt Controller DMC-400 GIC-400 QoS, virtual networks, LPDDR2/DDR3 smooth evolution to future memories e.g. WideIO Stage 2 memory translation, ARMv7 virtualization extensions compliant Share interrupts across clusters, ARM v7 virtualization extensions compliant 6

7 System IP for Cortex-A15 & Mali-T604 Cache Coherent Interconnect Coherent caches shared by processors & I/O AMBA 4 coherency extensions Non-blocking virtual networks New high efficiency memory controller 1/2/4 >90% interface utilization LPDDR2/DDR3 System MMU and GIC for virtualization Network Interconnect for SoC connectivity Quad Cortex-A15 GIC-400 Dynamic Memory Controller DMC-400 Cache Coherent Interconnect CCI-400 Reduced routing and power, end-to-end QoS Compute subsystems designed and optimized by ARM PHY DDR3/LPDDR2 Quad Cortex-A15 Mali-T604 graphics PHY DDR3/LPDDR2 400 Series I/O device Network Interconnect NIC-400 Slaves Video Network Interconnect NIC-400 Slaves LCD 7

8 Why Coherency? GIC-400 Quad Quad Cortex-A15 Cortex-A15 Mali-T604 graphics I/O device Video LCD Network Interconnect NIC-400 More processors & More shared data Increase in processor cores Increase in accelerator engines including multimedia, e.g. 3D Goal is more performance for less power Dynamic Memory Controller DMC-400 PHY DDR3/LPDDR2 Cache Coherent Interconnect CCI-400 PHY DDR3/LPDDR2 Network Interconnect NIC-400 Slaves Slaves Shared data needs to be managed ensure consistency Three ways to guarantee coherency of shared data Disable caching = lowest performance Software managed coherency = SW overhead for cache maintenance Hardware managed coherency = highest performance, scalable 8

9 New Applications Need Coherency OpenCL provides access to the vast processing power of Mali -T604 Applications include: Video editing and effects Camera & image processing (e.g. smile detection ) Image recognition (e.g. automotive lane detection) Gesture recognition systems Game engines (physics engines, particle physics) Photorealistic ray tracing And Artificial Intelligence 9

10 Hardware Coherency Past and Present ARM MPCore processors support scaling up to quad core SMP All processors see the same view of memory Performance scaling for applications Accelerator Coherence Port (ACP) allows sharing of MPCore caches Limited to one MPCore processor Bandwidth shared with processor interface Limited throughput Quad Cortex-A15 MPCore Cortex-A15 Cortex-A15 CoreLink CCI-400 Cache Coherent Interconnect Main Memory Cortex-A15 Cortex-A15 Integrated L2 cache Main Memory I/O Coherent Accelerator AMBA 4 System and I/O Bringing hardware coherency to the system AXI Coherency Extensions (ACE) CoreLink CCI-400 Cache Coherent Interconnect - enables scalable coherency Multiple processor clusters up to 8 Cortex -A15 cores Multiple accelerator engines with increased bandwidth 10

11 Reduce Your Cache Maintenance Costs Without hardware coherency, software must manage caches Flushing and invalidation of data requires many CPU cycles Data is written to main memory (DDR) This burns power, increases latency and occupies the CPU Cache maintenance software is notoriously difficult to debug AMBA 4 ACE allows hardware to manage cache coherency Caches do not need to be flushed or invalidated Processor can do useful work instead, or enter low power state External memory accesses are reduced No wasted cache flushing Shared data can now be read directly from processors caches! Hardware coherency simplifies software & processor spends less time maintaining caches good for power and performance 11

12 Why Virtualization? Virtualization: create multiple logical devices from one physical device Popek & Goldberg (1974) gave the requirements for virtualization Equivalence / Fidelity Underlying H/W is transparent to S/W Resource control / Safety System protection using sandboxing Efficiency / Performance Low overhead virtual machine Meeting these 3 requirements within the SoC is a key driver in the ARM world 12

13 Virtualization Everywhere Servers Widely used for consolidation of tasks on to CPUs Computing Enables multiple client OS, e.g. Windows on Linux Motorola Evoke QA4, world s first fully virtualized smartphone Common H/W view presented to S/W across product range Mainstream games consoles Implement virtual machines for legacy S/W Next gen STB and DTV hardware Sandboxing of user space to protect device firmware 13

14 Virtualization in Mobile and Embedded Innovation driving change (EETimes, April 2010) Low power processors now incorporate the same kind of hypervisor hardware acceleration enjoyed by desktop and server processors. Emerging virtualization uses Split mobile personalities Next generation mobile devices DTV with internet apps In-vehicle infotainment Gaming systems 2010/11 mobile & embedded design starts Including virtualization hardware support now 14

15 ARM Solution Cortex-A15, and GIC-400 ARM adds virtualization extensions standard to ARMv7 architecture in 2010 Cortex-A15 first processor with native hypervisor mode Mali-T604 GPU runs in virtual address space maps Mali accesses to physical address for the hypervisor virtualizes other masters GIC-400 virtualizes interrupts Virtual Address Space Physical Address Space Virtual Address Space Intermediate Physical Address Space 15

16 GIC-400 CoreLink and GIC-400 G I C Q u a d Q u a d C o r t e x - A 1 5 C o r t e x - A 1 5 M a l i - T g r a p h i c s M M U V i d e o L C D I / O d e v i c e Network Interconnect N I C M M U M M U Cache Coherent Interconnect C C I Dynamic Memory Controller D M C P H Y P H Y Network Interconnect N I C D D R 3 / L P D D R 2 D D R 3 / L P D D R 2 S l a v e s S l a v e s IO virtualization with distributed TLB maintenance messaging Stage 2 address translation for hypervisor support ARMv7 virtualization extension architecture compliant Generic Interrupt Controller for multiple Cortex-A15 clusters IRQs and FIQs securely managed by hypervisor for each OS ARMv7 virtualization extension architecture compliant 16

17 CoreLink Delivers Efficient Virtualization Equivalence / Fidelity Cortex-A15 processor works with and GIC-400 to present a virtual hardware view to S/W H/W can be upgraded as required without modifying S/W Resource control / Safety Hypervisor in complete control of the virtualized resources Cortex-A15, and GIC-400 sandbox each OS Efficiency / Performance Address translations are performed in hardware More efficient and simpler than para-virtualization No need to migrate device drivers into the hypervisor No need to trap and process IO accesses or interrupts Evidence from typical DMA test case: 1.5% overhead vs. 36% overhead for S/W only solution 17

18 Why End-to-End Quality of Service? Systems use external memory Higher data GB/s Higher frequency Contention for scarce bandwidth Engineering challenges Need low latency for CPU High bandwidth for GPU LCDC needs deterministic latency So what? Need common QoS scheme across interconnect & memory controller Maximise performance & power efficiency 18

19 End-to-End Quality of Service Interconnect Traffic regulation on entry Maximum bandwidth limits Outstanding transaction management Dynamic priority Uses QoS value in NIC-301, NIC-400 Changes priority to meet target latency Virtual Networks Remove blocking through system QoStraffic and latency regulation Video Engine CoreLink NIC-400 Memory Controller Scheduler aims for high memory efficiency whilst meeting QoS requirements Support for latency regulation and arbitration with QoS value Timeout mechanism for streaming and real-time traffic Separate read and write queues CoreLink DMC-400 Memory Controller Mali GPU CoreLink DMA-330 DRAM LCD Ctroller Cortex-A processor Peripheral Peripheral 19

20 SoC Energy Efficiency is a Function of Many cores high performance computing Energy efficient Cortex, Mali processors But significant % of energy used in the memory system, especially off-chip Efficient use of limited off-chip memory bandwidth DMC-400, >90% of max theoretical utilization CCI-400, NIC-400, QoS, QVN keep processors performing without compromising bandwidth utilization Higher cache utilization by software Coherency CCI-400 enables more on-chip accesses, less off-chip accesses Lowering power and raising performance! 20

21 Ease of use System PPA For software The ARM Compute Sub-System Common Cortex-A + Mali graphics and video platform For maximum software performance & availability CoreSight provides visibility For software debug and performance optimisation TrustZone and System MMU Hardware virtualisation to protect applications GPU CPU VE Cortex-A5/A8/A9/A15, Mali-55/200/400 MP, Mali-VE3/6 A range of scalable processing performance to fit your power & area budget CoreLink Network Interconnect and Dynamic Memory Controllers To maximise efficient use of shared main memory Verification and Performance Exploration (VPE) tool For early and rapid system design trade-off decisions AMBA Designer Fast and reliable IP configuration and sub-system assembly Example system designs for faster time to market Support & maintenance from a single quality IP provider 21

22 CoreLink 300 Series for AMBA 3 Raising SoC performance and power efficiency NIC-301 Network Interconnect Hierarchical design Advanced Quality of Service (QoS) for performance and latency Level 2 Cache Controller Increase CPU performance Reduce external memory accesses Dynamic Memory Controllers LPDDR2, DDR2 LPDDR, DDR, NVM Programmable DMA Controller Off load the CPU Multi-channel Mali -400 GPU Video CoreLink Network Interconnect NIC-301 switch AXI3 AXI3 128b QoS-301 AXI3 DMC-342 PHY AXI3 LPDDR2 Cortex-A9 CPU AXI3 AXI3 NIC-301 switch Other Periph L2C-310 AXI3 AXI3 64b QoS-301 Configurable AXI3/AHB/APB Other Periph AXI3 AXI3 DMA-330 Configurable AXI3/AHB NIC-301 switch AXI3 32b QoS-301 AXI3 NIC-301 switch Configurable AXI3/AHB/APB Other Periph NIC-301 top level hierarchy of switches Other Periph 22

23 Summary It s All About the System Coherency, virtualization, non-blocking & hierarchical interconnect, power management and end-to-end QoS Software wants to see hardware as resources Want common API s e.g. OpenCL Quad Cortex-A15 GIC-400 Quad Cortex-A15 Mali-T604 graphics 400 Series I/O device Cache Coherent Interconnect CCI-400 Video Network Interconnect NIC-400 LCD The software community want standardization of hardware resources Dynamic Memory Controller DMC-400 PHY PHY Network Interconnect NIC-400 DDR3/LPDDR2 DDR3/LPDDR2 Slaves Slaves Hardware assistance in the right place simplifies the software programmers view; improving consistency & software portability and reducing power consumption System optimization is key and not just the CPU 23

24 Thank You Please visit for ARM related technical details For any queries contact < > 24

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre The Evolution of the ARM Architecture Towards Big Data and the Data-Centre 8th Workshop on Virtualization in High-Performance Cloud Computing (VHPC'13) held in conjunction with SC 13, Denver, Colorado

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

ARM the Company ARM the Research Collaborator

ARM the Company ARM the Research Collaborator UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October 2013 1 The ARM Vision A world where all electronic products and services are

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

Cortex-A15 MPCore Software Development

Cortex-A15 MPCore Software Development Cortex-A15 MPCore Software Development Course Description Cortex-A15 MPCore software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to

More information

The ARM Cortex-A9 Processors

The ARM Cortex-A9 Processors The ARM Cortex-A9 Processors This whitepaper describes the details of the latest high performance processor design within the common ARM Cortex applications profile ARM Cortex-A9 MPCore processor: A multicore

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture.

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. ARM CORTEX-R52 Course Family: ARMv8-R Cortex-R CPU Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. Duration: 4 days Prerequisites and related

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

CMP Conference 20 th January Director of Business Development EMEA

CMP Conference 20 th January Director of Business Development EMEA CMP Conference 20 th January 2011 eric.lalardie@arm.com Director of Business Development EMEA +33 6 07 83 09 60 1 1 Unparalleled Applicability ARM Cortex Advanced Processors Architectural innovation, compatibility

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Bifrost - The GPU architecture for next five billion

Bifrost - The GPU architecture for next five billion Bifrost - The GPU architecture for next five billion Hessed Choi Senior FAE / ARM ARM Tech Forum June 28 th, 2016 Vulkan 2 ARM 2016 What is Vulkan? A 3D graphics API for the next twenty years Logical successor

More information

Cortex-A9 MPCore Software Development

Cortex-A9 MPCore Software Development Cortex-A9 MPCore Software Development Course Description Cortex-A9 MPCore software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop

More information

Take GPU Processing Power Beyond Graphics with Mali GPU Computing

Take GPU Processing Power Beyond Graphics with Mali GPU Computing Take GPU Processing Power Beyond Graphics with Mali GPU Computing Roberto Mijat Visual Computing Marketing Manager August 2012 Introduction Modern processor and SoC architectures endorse parallelism as

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 A Secure and Connected Intelligent Future 1 2017 Arm Copyright Limited Arm 2017 Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 Arm: The Industry s Architecture of Choice 50

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

The Next Steps in the Evolution of Embedded Processors

The Next Steps in the Evolution of Embedded Processors The Next Steps in the Evolution of Embedded Processors Terry Kim Staff FAE, ARM Korea ARM Tech Forum Singapore July 12 th 2017 Cortex-M Processors Serving Connected Applications Energy grid Automotive

More information

The Bifrost GPU architecture and the ARM Mali-G71 GPU

The Bifrost GPU architecture and the ARM Mali-G71 GPU The Bifrost GPU architecture and the ARM Mali-G71 GPU Jem Davies ARM Fellow and VP of Technology Hot Chips 28 Aug 2016 Introduction to ARM Soft IP ARM licenses Soft IP cores (amongst other things) to our

More information

RA3 - Cortex-A15 implementation

RA3 - Cortex-A15 implementation Formation Cortex-A15 implementation: This course covers Cortex-A15 high-end ARM CPU - Processeurs ARM: ARM Cores RA3 - Cortex-A15 implementation This course covers Cortex-A15 high-end ARM CPU OBJECTIVES

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

CoreTile Express for Cortex-A5

CoreTile Express for Cortex-A5 CoreTile Express for Cortex-A5 For the Versatile Express Family The Versatile Express family development boards provide an excellent environment for prototyping the next generation of system-on-chip designs.

More information

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market Fast, calable and Energy Efficient IO olutions: Accelerating infrastructure oc time-to-market ridhar Valluru Product Manager ARM Tech ymposia 2016 Intelligent Flexible Cloud calability and Flexibility

More information

The Cortex-A15 Verification Story

The Cortex-A15 Verification Story The Cortex-A15 Verification Story Bill Greene Micah McDaniel December 7, 2011 1 2 WHAT IS CORTEX-A15? Cortex-A15: Next Generation Leadership Cortex-A class multi-processor 40bit physical addressing (1TB)

More information

RapidIO.org Update.

RapidIO.org Update. RapidIO.org Update rickoco@rapidio.org June 2015 2015 RapidIO.org 1 Outline RapidIO Overview Benefits Interconnect Comparison Ecosystem System Challenges RapidIO Markets Data Center & HPC Communications

More information

ARMv8-A Software Development

ARMv8-A Software Development ARMv8-A Software Development Course Description ARMv8-A software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop software for

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

ARM processors driving automotive innovation

ARM processors driving automotive innovation ARM processors driving automotive innovation Chris Turner Director of advanced technology marketing, CPU group ARM tech forums, Seoul and Taipei June/July 2016 The ultimate intelligent connected device

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

Application Note 228

Application Note 228 Application Note 228 Implementing DMA on ARM SMP Systems Document number: ARM DAI 0228 A Issued: 1 st August 2009 Copyright ARM Limited 2009 Copyright 2006 ARM Limited. All rights reserved. Application

More information

Analyze system performance using IWB. Interconnect Workbench Dave Huang

Analyze system performance using IWB. Interconnect Workbench Dave Huang Analyze system performance using IWB Interconnect Workbench Dave Huang Perf_analysis@126.com 1 Information Personal peech of personal experience I am on behalf on myself Interconnects Are at the Heart

More information

Developing the Bifrost GPU architecture for mainstream graphics

Developing the Bifrost GPU architecture for mainstream graphics Developing the Bifrost GPU architecture for mainstream graphics Anand Patel Senior Product Manager, Media Processing Group ARM Tech Symposia India December 7 th 2016 Graphics processing drivers Virtual

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Next Generation Visual Computing

Next Generation Visual Computing Next Generation Visual Computing (Making GPU Computing a Reality with Mali ) Taipei, 18 June 2013 Roberto Mijat ARM Addressing Computational Challenges Trends Growing display sizes and resolutions Increasing

More information

RapidIO.org Update. Mar RapidIO.org 1

RapidIO.org Update. Mar RapidIO.org 1 RapidIO.org Update rickoco@rapidio.org Mar 2015 2015 RapidIO.org 1 Outline RapidIO Overview & Markets Data Center & HPC Communications Infrastructure Industrial Automation Military & Aerospace RapidIO.org

More information

SmartNICs: Giving Rise To Smarter Offload at The Edge and In The Data Center

SmartNICs: Giving Rise To Smarter Offload at The Edge and In The Data Center SmartNICs: Giving Rise To Smarter Offload at The Edge and In The Data Center Jeff Defilippi Senior Product Manager Arm #Arm Tech Symposia The Cloud to Edge Infrastructure Foundation for a World of 1T Intelligent

More information

CoreLink MMU-400 System Memory Management Unit ARM. Technical Reference Manual. Revision: r0p1

CoreLink MMU-400 System Memory Management Unit ARM. Technical Reference Manual. Revision: r0p1 ARM CoreLink MMU-400 System Memory Management Unit Revision: r0p1 Technical Reference Manual Copyright 2011, 2014 ARM. All rights reserved. ARM DDI 0472B () ARM CoreLink MMU-400 System Memory Management

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Cortex-A5 MPCore Software Development

Cortex-A5 MPCore Software Development Cortex-A5 MPCore Software Development תיאורהקורס קורסDevelopment Cortex-A5 MPCore Software הינו הקורס הרשמי שלחברת ARM בן 4 ימים, מעמיקמאודומכסהאתכלהנושאיםהקשוריםבפיתוחתוכנה לפלטפורמותמבוססותליבת.Cortex-A5

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Cortex-A15 MPCore Software Development

Cortex-A15 MPCore Software Development Cortex-A15 MPCore Software Development תיאור הקורס קורסDevelopment Cortex-A15 MPCore Software הינו הקורסהרשמי שלחברת ARM בן 4 ימים, מעמיקמאודומכסהאתכלהנושאיםהקשוריםבפיתוחתוכנה לפלטפורמותמבוססותליבתMPCore.Cortex-A15

More information

The Next Steps in the Evolution of ARM Cortex-M

The Next Steps in the Evolution of ARM Cortex-M The Next Steps in the Evolution of ARM Cortex-M Joseph Yiu Senior Embedded Technology Manager CPU Group ARM Tech Symposia China 2015 November 2015 Trust & Device Integrity from Sensor to Server 2 ARM 2015

More information

Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018

Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018 Communication Patterns in Safety Critical Systems for ADAS & Autonomous Vehicles Thorsten Wilmer Tech AD Berlin, 5. March 2018 Agenda Motivation Introduction of Safety Components Introduction to ARMv8

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Security and Performance Benefits of Virtualization

Security and Performance Benefits of Virtualization Security and Performance Benefits of Virtualization Felix Baum mentor.com/embedded Android is a trademark of Google Inc. Use of this trademark is subject to Google Permissions. Linux is the registered

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

A unified multicore programming model

A unified multicore programming model A unified multicore programming model Simplifying multicore migration By Sven Brehmer Abstract There are a number of different multicore architectures and programming models available, making it challenging

More information

A Developer's Guide to Security on Cortex-M based MCUs

A Developer's Guide to Security on Cortex-M based MCUs A Developer's Guide to Security on Cortex-M based MCUs 2018 Arm Limited Nazir S Arm Tech Symposia India Agenda Why do we need security? Types of attacks and security assessments Introduction to TrustZone

More information

Smart Antennas and Hypervisor: Enabling Secure Convergence. July 5, 2017

Smart Antennas and Hypervisor: Enabling Secure Convergence. July 5, 2017 Smart Antennas and : Enabling Secure Convergence July 5, 2017 About OpenSynergy OpenSynergy develops software solutions for embedded automotive systems. OpenSynergy s product portfolio includes key software

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a design in hardware, and at least 3 advantages of implementing the remaining portions of the design in

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE

HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE Haibo Xie, Ph.D. Chief HSA Evangelist AMD China OUTLINE: The Challenges with Computing Today Introducing Heterogeneous System Architecture (HSA)

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks 2017 Arm Limited David Koenen Sr. Product Manager, Arm Arm Tech Symposia 2017, Taipei Agenda 5G networks Ecosystem software to support

More information

Enabling a Richer Multimedia Experience with GPU Compute. Roberto Mijat Visual Computing Marketing Manager

Enabling a Richer Multimedia Experience with GPU Compute. Roberto Mijat Visual Computing Marketing Manager Enabling a Richer Multimedia Experience with GPU Compute Roberto Mijat Visual Computing Marketing Manager 1 What is GPU Compute Operating System and most application processing continue to reside on the

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved.

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved. SoC Designer Version 9.2 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights reserved. 100992_0902_00 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information