90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

Size: px
Start display at page:

Download "90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1"

Transcription

1 90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra 2015 Synopsys, Inc. All rights reserved. 1

2 Process Requirements are Specific to Customer/Market Need Wearable / IoT has given impetus to established nodes Application processors drive FinFET roadmap to 10-nm 2015 Synopsys, Inc. All rights reserved. 2

3 Market Requirements for Wearables Driving Physical IP Specifications Low-end wearable 90-nm moving to 55-nm Embedded flash 4-7 metal layers USB 2.0, ADC, standard cells, memory High-end wearable Moving to 28-nm MIPI CSI, DSI, LPDDR3/2, ADC ~8 metal layers UART GPIO USB Host OTG w/ Charge Detect Radio (Bluetooth Smart / ) System Logic Sensor Subsystem ARC EM Processor I2C SPI Internal Flash SRAM / ROM ADC / Comparator Low-end wearable DAC / PWM / Timers 2015 Synopsys, Inc. All rights reserved. 3

4 Processor Processor Market Req s for Application Processors Driving Physical IP Specifications Today s application processors GPIO LPDDR Flash SD emmc UFS SATA 28-nm moving to 16/14FF 1.8 V; >8 metal layers USB 3.0, SERDES, MIPI, HDMI, LPDDR, ADC, high-performance standard cells & memory Next-gen application processor Moving to 10-nm UART I2C 3G/4G Modem WiFi Link De-Bug Clock Battery Trace L3 Cache L2 Cache Keypad Processor Processor 3D Graphics Video Accelerator Image Sensor Processor Security Processor I2C/SPI Touchscreen Audio Processor HDMI 2.0 USB SS/ HS Host/ Device Audio Analog FE Headset, Speaker, Amplifier MIPI CSI MIPI DSI USB 3.1, Type-C connector, next-gen SERDES, HDMI & MIPI protocols Application Processor 2015 Synopsys, Inc. All rights reserved. 4

5 USB IP Technical Requirements Key Parameters USB 2.0 for Wearables 90- to 55-nm USB 3.1 for Application Processors 16/14FF, 10FF Power < 100 mw (480Mbit/s) < 100 mw (5 Gbit/s) < 100 mw (10 Gbit/s) Area Small Tiny I/O 2.5 V / 3.3 V (1.8 V 28-nm) 1.8V (1.5V, 1.2V) Metal stack 6 layers 9-10 layers ESD 2kV HBM, 500V CDM 2kV HBM, 250V CDM USB charging YES, device only YES, host and device 2015 Synopsys, Inc. All rights reserved. 5

6 USB Type-C Connector One symmetrical cable, inserted either way 24 pins: power, HS, SS, config, sideband Passive adapters for existing type-a and type-b No direction - same connector for host/hub, device Data, charging, analog audio in one connector Small, robust, EMI/RFI improved, expandable USB 3.1 Type C USB 3.1 Standard A 2015 Synopsys, Inc. All rights reserved. 6 USB 3.1 Micro-B Confidential

7 SERDES Technical Requirements Key Parameters Wearables 90- to 55-nm Application Processors 16/14FF, 10FF Bandwidth Up to 5 Gbps Up to 8 Gbps Active Power mw s ~mw s Stand-by power mw s mw s Area Small Tiny Beach front Not critical Critical I/O Voltage 2.5V or 3.3V 1.8V 1.5V 1.2V Metal stack 6 layers 8-10 layers +RDL ESD 2kV HBM, 500V CDM 2kV HBM, 250V CDM Package type Wirebond, Flip chip Flip chip BOM Cost Single Vt, 6LM, WB Multi-Vt, 8 to 10LM, FC Features Low quality REFCLK, L1S, power gating Refclk buffer, L1S, power gating 2015 Synopsys, Inc. All rights reserved. 7

8 SERDES Comparison 90-nm / 55-nm Versus 16FF 90-nm 65-nm 16-nm Reduced area, beach front, power Improved performance with added features 2015 Synopsys, Inc. All rights reserved. 8

9 DDR IP Technical Requirements Key Parameters Wearables 90- to 55-nm Protocols LPDDR2/3 LPDDR3/4 Application Processors 16/14FF, 10FF Data Rates Mbps Up to 3200 Mbps Power Dependent on speed power lower Area small larger Dependent on speed power higher I/O Voltage 2.5V 1.8V 1.5V Metal stack 6 layers 8-10 layers + RDL ESD 2kV HBM, 500V CDM 2kV HBM, 250V CDM Termination Required No Yes Package type Wirebond Flip chip Features Simple training More complex training, per bit deskew 2015 Synopsys, Inc. All rights reserved. 9

10 DDR Evolves with the Protocols 90-nm / 55-nm Versus 16/14FF 90-nm / 55-nm LPDDR2/3 PHY, up to 1066 Mbps, DLL based PHY, added simpler IO, limited training 16/14-nm LPDDR4/3 PHY, up to 3200 Mbps, PLL based PHY, complex IO w/pre-emphasis, complex training plus Vref generation & per bit deskew 2015 Synopsys, Inc. All rights reserved. 10

11 Logic Library Technical Requirements Advanced Power Management: 90/55nm IoT & 16/14FF APs Key Parameters Power Track Height Wearables 90- to 55-nm Both thin and thick oxide libraries include multi-bit flops, Power Optimization Kit for DVFS and shutdown Thin Oxide 6/7 track for ultra high density logic Thick Oxide - 10 track for wake-up logic Application Processors 16/14FF Power Kit for DVFS and shutdown, highest speeds require robust design for IR/EM challenges, MBFFs 10.5 for fastest processors, 9 track for GPU & mid. CPU, 7.5 track for slower parts of SOC Performance 10MHz 50MHz 500MHz 3GHz Metal stack Challenges Cells only use up to M2 but support routing stacks from 5 to 7 Support routing up to 12 layers with RDL Low voltage characterization Power and signal EM 2015 Synopsys, Inc. All rights reserved. 11

12 Logic Library Power Management Innovative Circuits Integrated into Design Flows with UPF Power D1 Master latch Slave latch Q1 Clk Clk VDDI VDDO IN LS OUT Clk VSS Level Shifter D2 Master latch Slave latch Q Synopsys, Inc. All rights reserved. 12

13 Thick Oxide Library Lowest leakage for always-on wakeup circuits Thick oxide for gates Filler cells for routing UART GPIO USB Host OTG w/ Charge Detect Radio (Bluetooth Smart / ) System Logic Sensor Subsystem ARC EM Processor I2C SPI Internal Flash SRAM / ROM ADC / Comparator DAC / PWM / Timers Color indicates typical Keep Alive circuits 2015 Synopsys, Inc. All rights reserved. 13

14 Memory IP Technical Requirements Different Applications Have Different Requirements Key Parameters Wearable 90- to 55-nm CMOS Image Sensor 90- to 40-nm Application processor 16/14FF Performance 10 MHz 50 MHz 100 MHz 200 MHz 500 MHz 3 GHz Area As small as possible As small as possible 500 MHz domains need to be as small as possible Power Requires ultra low voltage operation and low leakage state retention; May require assist circuitry to support low voltage operation Requires low power memories Requires low leakage memories Metal Stack Support M6 top level metal Support M4 top level metal Support M10 top level metal Memories required High Density & Low Power Memory (Single Port, Two Port and ROM) High Density & Low Power Memory (Single Port, Two Port and ROM) High Speed (Single Port) High Density & Low Power (Single Port, Two Port, Dual Port and ROM); Customized memory for CPU, GPU and DSP cores 2015 Synopsys, Inc. All rights reserved. 14

15 Low Power SRAMs and ROMs Ideal for IoT Devices SRAM Retention Mode Ultra low power ROM Shutdown mode for maximum leakage reduction Source biasing to reduce leakage by 70% while retaining data Ultra low voltage operation ROM Shut Down Mode Single Signal Power Down Bringing advanced power management design techniques to mature nodes Zero-Array ROM for further power reduction Shutdown mode with integrated power gates Easy User Interface, Controlled by a Single Pin 2015 Synopsys, Inc. All rights reserved. 15

16 Integrated Test & Repair with Synopsys Memories SRAM - LT SRAM - IT SRAM - ST Row Decoders Control Memory Array Sense Amp and Output buffers Row Decoders Control Memory Array Sense Amp and Output buffers Integrated Test (partial SMS IP) Row Decoders Control Memory Array Sense Amp and O/P buffers Integrated Test (partial SMS IP) R e d u n d a n c y Control Signals Input /Output Data Control Signals Input /Output Data Control Signals Input /Output Data STAR Memory System STAR Memory System STAR Memory System Input/Output Data, Control Signals Input/Output Data, Control Signals Input/Output Data, Control Signals efuse Faster Design Closure Reduced Area Higher Performance Higher ATPG Coverage 2015 Synopsys, Inc. All rights reserved. 16

17 Continued Innovation in Memory IP Now Extending Expertise to 10-nm FinFET / / 55 45/ 40 32/ 28 22/ 20 16/ High- Density Compilers High- Speed Compilers Ultra LP w/array Biasing Router Friendly Library Self Test & Repair Memories for CMOS Image Sensor Metal Program Library Ultra High- Density 2P High- Speed Async. RF High Density Memory 55-nm 8M SP SRAM Light Sleep Deep Sleep For IOT Power Optimize Kit Lib Advanced Test Chip Architecture with High- Speed Test & Diagnostics Integrated Power Gating Periphery VT Dual Voltage Rails Long Channel Libs Unidirectional Poly Enhanced In-rush Current Mgmt HPC Kit & Datapath Library Read/ Write Assist Enhanced Reference Circuitry Ultra Low Voltage Operation Process Fast Monitors Retention Enhanced Bringing advanced Test power Long assist Channel circuitry Memory process FinFETs nodes / DPT Gate/VT Bias Libs Silicon Test Structures High Speed Memory 16/14-nm PODE/ CPODE FinFET Near Retention Voltage Operation Triple Pattern Router Support management back to mature Partnering with all FinFET foundries 14/16nm development started in nm development in mutliple foundries 2015 Synopsys, Inc. All rights reserved. 17

18 IEEE 1500 DesignWare STAR Memory System Test, Repair and Diagnostics of FinFET and Planar Memories 2013 Best-in-Test Test-of-Time Award Winner Cache Group 1 CPU Cache Group 2 Test Bus Test Bus MMB Processor MMB Processor Efuse SMS Server IEEE 1500 SoC TAP High test quality Faster design closure High yield & reliability Advanced diagnostics SMS Processor IEEE 1500 SMS Processor Wrapper Wrapper Wrapper Wrapper 2P RF 1P RF DP SRAM EXTRAM 2015 Synopsys, Inc. All rights reserved. 18

19 Industry s First On-Chip Memory Test & Repair Solution for Embedded Flash DesignWare STAR Memory System for Embedded Flash Cuts Test Cost by 20% Provides comprehensive test coverage & in-field diagnostics of embedded flash memories Eliminates the need for expensive external test solutions for embedded flash memories Reduces overall design integration effort, development cycle and test cost 2015 Synopsys, Inc. All rights reserved. 19

20 ADC IP Technical Requirements Key Parameters Power Area Wearable / MCU 90- to 55-nm 10 s to 100 s low speed 10 s power down 0.15 mm mm 2 for additional features Application & Baseband Processor 28-nm to 16FF 1 s to 10 s high speed 0.04 mm 2 for large MIMO I/O 3.3 V supply compatible 1.8 V supply compatible Metal Stack 5 layers 7 layers Process Options Standard logic, eflash compatible Standard logic Internal Calibration Yes Yes Power Saving Modes Automatic power scaling with speed Power down modes Internal power switch / LDO Automatic power scaling with speed Power down modes Fast wake-up cycles Max Sampling rate Up to 5MSPS Up to 320MSPS GSPS Resolution 12-bit -> 16-bit 12-bit Additional Features Use Case Analog input multiplexer (rail-to-rail, single ended and differential) Wide supply range (3.6V <> 1.62V) Sensor / General purpose data acquisition No external reference circuitry Companion TX-DAC & PLL for AFE Baseband wireless / wireline AFE Digital TV 2015 Synopsys, Inc. All rights reserved. 20

21 Analog IP for IoT ADC s for MCUs & the Sensor Interface Broad portfolio of silicon-proven IP Compatible with embedded flash Higher performance than general purpose MCU ADCs on the market Low current consumption Long idle times Ultra-low leakage Flexibility of use High linearity, low offset, for absolute accuracy 2015 Synopsys, Inc. All rights reserved. 21

22 Analog IP for Application Processor Data Converters for easy integration in Advanced SoCs Complete High Performance portfolio 12-bit 80/160/320 MSPS ADC 12-bit 640 MSPS DAC 12-bit 5 MSPS Aux ADC & DAC Video DAC & Analog Audio Codec Companion low jitter PLL Ideal for multiple applications Wireless/Mobile: LTE-A, WiFi ac Digital TV/Multimedia Wired communications Featuring Most compact and low power Internal digital calibration for robustness Low BOM and pin count IP Innovation for 28-nm and Beyond Lowest power and compact area SAR-based 12-bit ADC ADC Product Area (mm 2 ) Power (mw) 12-b 80 MSPS b 160 MSPS b 320 MSPS Synopsys, Inc. All rights reserved. 22

23 12-bit 80MSPS ADC Evolution Keeping up w/ Moore s Law with State of the Art Architectures 45 x area improvement 120 x power reduction Standard logic processes 180nm 1.8 mm mw 65nm 0.34 mm mw 28nm 0.04 mm mw 2015 Synopsys, Inc. All rights reserved. 23

24 Summary Wearable / IoT has given impetus to established nodes Application processors drive FinFET roadmap to 10-nm Design approaches and semiconductor requirements for physical IP are driven by divergent market needs To be successful in both markets, IP vendors must tailor the IP to the specific requirements Significant application know-how Experience with multiple process technologies 2015 Synopsys, Inc. All rights reserved. 24

25 2015 Synopsys, Inc. All rights reserved. 25 Thank You

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Advantages of MIPI Interfaces in IoT Applications

Advantages of MIPI Interfaces in IoT Applications Advantages of MIPI Interfaces in IoT Applications IoT DevCon Conference Hezi Saar April 27, 2017 Abstract In addition to sensors, high-resolution cameras are key enablers of IoT devices. The challenge

More information

Building Low Power, Modular Systems with Silicon-Proven IP Solutions

Building Low Power, Modular Systems with Silicon-Proven IP Solutions Building Low Power, Modular Systems with Silicon-Proven IP Solutions Hezi Saar Synopsys 1 Legal Disclaimer The material contained herein is not a license, either expressly or impliedly, to any IPR owned

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be covered in this presentation. 1 Please note that this

More information

cxt200 1 Datasheet, Revision 1.0

cxt200 1 Datasheet, Revision 1.0 cxt200 SoC OVERVIEW The Creator cxt200 is a system-on-chip device targeted for use in wireless connected products. It includes: 550 MHz dual core, dual thread MIPS interaptiv CPU Base band functions required

More information

Hugo Cunha. Senior Firmware Developer Globaltronics

Hugo Cunha. Senior Firmware Developer Globaltronics Hugo Cunha Senior Firmware Developer Globaltronics NB-IoT Product Acceleration Platforms 2018 Speaker Hugo Cunha Project Developper Agenda About us NB IoT Platforms The WIIPIIDO The Gateway FE 1 About

More information

DesignWare IP Portfolio

DesignWare IP Portfolio DesignWare Portfolio Synopsys is a leading provider of high-quality, silicon-proven solutions for SoC designs. The broad DesignWare portfolio includes logic libraries, embedded memories, embedded test,

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Let s first take a look at power consumption and its relationship to voltage and frequency. The equation for power consumption of the MCU as it

Let s first take a look at power consumption and its relationship to voltage and frequency. The equation for power consumption of the MCU as it 1 The C8051F91x/0x product family is designed to dramatically increase battery lifetime which is the number one requirement for most battery powered applications. The C8051F91x has the industry s lowest

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Intel Braswell SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

New STM32WB Series MCU with Built-in BLE 5 and IEEE

New STM32WB Series MCU with Built-in BLE 5 and IEEE New STM32WB Series MCU with Built-in BLE 5 and IEEE 802.15.4 Make the Choice of STM32WB Series The 7 keys points to make the difference 2 Open 2.4 GHz radio Multi-protocol Dual-core / Full control Ultra-low-power

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

ESP-01 WiFi Module Version1.0

ESP-01 WiFi Module Version1.0 ESP-01 WiFi Module Version1.0 sherry@aithinker.com Disclaimer and Copyright Notice. Information in this document, including URL references, is subject to change without notice. THIS DOCUMENT IS PROVIDED

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

SBC3100 (Cortex-A72) Single Board Computer

SBC3100 (Cortex-A72) Single Board Computer (Cortex-A72) Single Board Computer Ultra High Performance SBC with RK3399 (Cortex-A72 x2 + Cortex-A53 x4) @ 2Ghz : Single Board Computer H310: Input (receiver) Module : Output (display) Module D120: 4xCOM

More information

New CC430 combines leading MCU and RF technology

New CC430 combines leading MCU and RF technology New CC430 combines leading MCU and RF technology Brings personal and industrial wireless networking to the mass market Kevin Belnap MSP430 Marketing Mark Grazier Low Power RF Marketing Embargo date: November

More information

Product specification

Product specification MJIOT-AMB-03 Product specification 1 MJIOT-AMB-03module appearance 2 目录 1. Product overview...4 1.1 Characteristic... 5 1.2 main parameters...6 1.2 Interface definition... 7 2. appearance and size... 8

More information

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585 SmartBond DA14585 Smallest, lowest power and most integrated Bluetooth 5 SoC Connected devices are constantly evolving. New generations appear that are smarter, more full featured and have longer battery

More information

COL862 - Low Power Computing

COL862 - Low Power Computing COL862 - Low Power Computing Power Measurements using performance counters and studying the low power computing techniques in IoT development board (PSoC 4 BLE Pioneer Kit) and Arduino Mega 2560 Submitted

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation STM32MP1 Microprocessor Continuing the STM32 Success Story Press Presentation What Happens when STM32 meets Linux? 2 + = Linux The STM32MP1 Microprocessor Happens! 3 Available NOW! Extending STM32 success

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications Hot Chips August 2009 Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications PMP / Games Netbooks Pico Projectors Smart Phones Power? DSC Cost? New Features? Size? epaper ebook Time

More information

Raspberry Pi Compute Module

Raspberry Pi Compute Module Raspberry Pi Compute Module Hardware Design Guide Rev 1.0 Contents 1. Compute Module Hardware Design... 2 1.1. Powering the module... 2 1.1.1. Power sequencing... 2 1.1.2. Power requirements... 2 1.2.

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

The TechNexion Difference

The TechNexion Difference The TechNexion Difference Faster time-to-market through Open Design System on Modules are designed to speed up and reduce the cost of development for embedded devices. But these benefits are only possible,

More information

A First Look at Microprocessors

A First Look at Microprocessors A First Look at Microprocessors using the The General Prototype Computer (GPC) model Part 3 CPU Ecosystem CPUs by themselves cannot make a complete system they need certain other peripherals, or support

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

ECE 189A Senior Capstone December 16, 2014 Team Leader: Will Miller Charles Crain, Isaac Flores, Brian Phan, Sarah Pilkington

ECE 189A Senior Capstone December 16, 2014 Team Leader: Will Miller Charles Crain, Isaac Flores, Brian Phan, Sarah Pilkington ECE 189A Senior Capstone December 16, 2014 Team Leader: Will Miller Charles Crain, Isaac Flores, Brian Phan, Sarah Pilkington Agenda Project Overview Parts Power Distribution Schematic and Bill of Materials

More information

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform.

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform. Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform www.sierraradio.net www.hamstack.com Topics Introduction Hardware options Software development HamStack project

More information

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide. Copyright 2017 Active-Semi, Inc.

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide.   Copyright 2017 Active-Semi, Inc. PAC5523EVK1 Power Application Controllers PAC5523EVK1 User s Guide www.active-semi.com Copyright 2017 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 PAC5523EVK1 Resources...5 Pinout and Signal Connectivity...5

More information

Product Specification

Product Specification Product Specification 15mm x 27mm Description One of the most capable Bluetooth modules available, the BT-21 Bluetooth OEM Module is designed for maximum flexibility. The BT-21 module includes 14 general

More information

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview MIPI Alliance Introduction & MIPI Camera Serial Interface Overview Haran Thanigasalam Vice Chair, MIPI Camera Working Group About MIPI Alliance 260 Members (as of 4 May 2015) 45+ specifications and supporting

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

TI SimpleLink dual-band CC1350 wireless MCU

TI SimpleLink dual-band CC1350 wireless MCU TI SimpleLink dual-band CC1350 wireless MCU Sub-1 GHz and Bluetooth low energy in a single-chip Presenter Low-Power Connectivity Solutions 1 SimpleLink ultra-low power platform CC2640: Bluetooth low energy

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

DevKit7000 Evaluation Kit

DevKit7000 Evaluation Kit DevKit7000 Evaluation Kit Samsung S5PV210 Processor based on 1GHz ARM Cortex-A8 core Onboard 512MByte DDR2 and 512MByte NAND Flash 4 UART, 4 USB Host, USB Device, Ethernet, Audio, TF, RTC,... Supports

More information

Product Specification

Product Specification Product Specification Features Amp ed RF, Inc. Description 15mm x 27mm The added class 1 power, +18dBm, of the BT-11, gives this module one of the best ranges in the industry. It s completely pin compatible

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer.

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer. F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N 28 28 Nanometer www.umc.com 28 Nanometer UMC's 28nm process technology is developed for applications that require the highest performance

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

SAM A5 ARM Cortex - A5 MPUs

SAM A5 ARM Cortex - A5 MPUs SAM A5 ARM Cortex - A5 MPUs Industry s lowest-power MPUs Ideal for secure industry, IoT, wearable applications Operating at 600MHz/945DMIPS with low power consumption, the SAMA5 ARM Cortex-A5 based MPU

More information

RK3036 Kylin Board Hardware Manual V0.1

RK3036 Kylin Board Hardware Manual V0.1 RK3036 Kylin Board Hardware Manual V0.1 Content 1 Introduction 1.1 Kylin at first glance 1.2 Boot to console 1.3 Key features 1.4 Block diagram 2 Key parts in details 2.1 Processor 2.2 Memory 2.3 Storage

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Creator Ci40 product brief

Creator Ci40 product brief Creator Ci40 is a high-performance, low-power IoT hub that packs Ethernet, Wi-Fi, 802.11b/g/n/ac, Bluetooth Classic and Low Energy and an 802.15.4 radio onto a powerful IoT gateway with expansion ports

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Cypress PSoC 4 Microcontrollers

Cypress PSoC 4 Microcontrollers Cypress PSoC Microcontrollers Your Problem-Solver on Chip WWW.CYPRESS.COM/PSOC THE DIFFERENTIATION DILEMMA Embedded systems are rapidly advancing with innovative features added at each new product generation.

More information

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013 STM32F3 Cuauhtémoc Carbajal ITESM CEM 12/08/2013 1 STM32 Portfolio 2 32 bit/dsc Applications A typical embedded system with both control and signal processing requirements Digital Signal Controllers efficient

More information

NXP Microcontrollers Selection Guide

NXP Microcontrollers Selection Guide November 2012 NXP Microcontrollers Selection Guide NXP LPC family of microcontrollers is changing the landscape for embedded applications. Featuring award-winning innovations in connectivity, flexibility,

More information

STM32F7 series ARM Cortex -M7 powered Releasing your creativity

STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32 high performance Very high performance 32-bit MCU with DSP and FPU The STM32F7 with its ARM Cortex -M7 core is the smartest MCU and

More information

New STM32WB Series MCU with built-in Bluetooth 5 and IEEE

New STM32WB Series MCU with built-in Bluetooth 5 and IEEE New STM32WB Series MCU with built-in Bluetooth 5 and IEEE 802.15.4 Make the Choice of STM32WB Series The 7 keys points to make the difference 2 Open 2.4 GHz radio Multi-protocol Dual-core / Full control

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

STM32L4+ MCU series Excellence in ultra-low-power with more performance

STM32L4+ MCU series Excellence in ultra-low-power with more performance STM32L4+ MCU series Excellence in ultra-low-power with more performance Key messages of STM32 L4+ series 2 + More performance and still ULP leader ST has stretched the STM32L4 architecture to reach 150

More information

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems Technology in Quality Product overview ColdFire Module ARM Moduls System Integration Kit s Complete Systems TQC Produktübersicht / KNZ / 23.03.2011 / Folie 1 Module overview TQM5329 TQMa28 TQMa35 TQM5200S

More information

WT32i Bluetooth Audio Module

WT32i Bluetooth Audio Module WT32i Bluetooth Audio Module Topics Key Features Benefits WT32i Specifications iwrap TM Bluetooth Software Certifications Development Tools Use Cases Bluetooth 3.0 compliant Key Features Integrated iwrap

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

GWBMA0x Bluetooth Audio module

GWBMA0x Bluetooth Audio module GWBMA0x Bluetooth Audio module Data sheet version 0.9 draft GWBMA0X DATASHEET 0.9 GIGAWIT 1 Introduction GWBMA1X is a high performance Bluetooth audio module, It provides various type of wireless audio

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

MYD-JA5D2X Development Board

MYD-JA5D2X Development Board MYD-JA5D2X Development Board MYC-JA5D2X CPU Module as Controller Board 500MHz Atmel SAMA5D26/27 ARM Cortex-A5 Processor 256MB DDR3 SDRAM, 256MB Nand Flash, 4MB Data FLASH, 64KB EEPROM Serial ports, USB,

More information

Low-Power Processor Solutions for Always-on Devices

Low-Power Processor Solutions for Always-on Devices Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, 2014 2014 Synopsys, Inc. All rights reserved. 1 Always-on Mobile Devices Mobile devices on the move Mobile

More information

MeshConnect. Voice over

MeshConnect. Voice over MeshConnect Voice over 802.15.4 CEL Profile Founded in 1959 Headquaters: Silicone Valley, California 120 Employees Employee-Owned Extensive Engineering Facilities Product Development Centers Global Footprint

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Cypress PSoC 4 Microcontrollers

Cypress PSoC 4 Microcontrollers Cypress PSoC 4 Microcontrollers Your Problem-Solver on Chip WWW.CYPRESS.COM/PSOC4 THE DIFFERENTIATION DILEMMA Embedded systems are rapidly advancing with innovative features added at each new product generation.

More information

Design of Embedded DSP Processors Unit 5: Data access. 9/11/2017 Unit 5 of TSEA H1 1

Design of Embedded DSP Processors Unit 5: Data access. 9/11/2017 Unit 5 of TSEA H1 1 Design of Embedded DSP Processors Unit 5: Data access 9/11/2017 Unit 5 of TSEA26-2017 H1 1 Data memory in a Processor Store Data FIFO supporting DSP executions Computing buffer Parameter storage Access

More information

Mixed Signal IP Design Guide

Mixed Signal IP Design Guide Mixed Signal IP Design Guide Vol13 Iss2 v3, Nov. 5, 2013 The Leading Provider of High-Performance Silicon-Proven Mixed-Signal IP BENEFITS Integrate Mixed-Signal Content into Your SoC Improve Performance

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Low Power System Design Using Atmel ARM Cortex -based Products Copyright Atmel Corporation

Low Power System Design Using Atmel ARM Cortex -based Products Copyright Atmel Corporation Low Power System Design Using Atmel ARM Cortex -based Products 1 2012 Copyright Atmel Corporation Low Power System What s driving low power system? System power buget constrain Long battery life Specification

More information

VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION

VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION VIDEO BRIDGING SOLUTION PROMISES NEW LEVEL OF DESIGN FLEXIBILITY AND INNOVATION May 2016 Lattice Semiconductor 111 5 th Ave., Suite 700 Portland, Oregon 97204 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

NXP-Freescale i.mx6. Dual Core SOM (System-On-Module) Rev 1.5

NXP-Freescale i.mx6. Dual Core SOM (System-On-Module) Rev 1.5 NXP-Freescale i.mx6 SOM i2ex Dual Core SOM (System-On-Module) Rev 1.5 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Scale system performance faster than Moore s Law will currently allow K. Charles Janac MSoC Conference 2016 Nara, Japan, July 13, 2016

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

Digital Circuits Part 2 - Communication

Digital Circuits Part 2 - Communication Introductory Medical Device Prototyping Digital Circuits Part 2 - Communication, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Topics Microcontrollers Memory

More information