CoreRGMII v2.0. Handbook

Size: px
Start display at page:

Download "CoreRGMII v2.0. Handbook"

Transcription

1 CoreRGMII v2.0 Handbook

2 CoreRGMII v2.0 Handbook Table of Contents Introduction... 3 Core Overview... 3 Core Version... 3 Supported Families... 3 Key Features... 3 Utilization and Performance... 3 Functional Block Description... 4 Management Interface Block... 4 Conversion Block... 5 Protocol Boundaries... 5 Tool Flows... 6 Licensing... 6 SmartDesign... 6 RXC and TXC Clocks... 8 Simulation Flows... 8 Synthesis in Libero SoC... 8 Place-and-Route in Libero SoC... 8 Timing Closure Using SmartTime... 9 Core Interfaces Core Parameters Register Map and Descriptions Appendix 1 - SmartTime Constraints Ordering Information Ordering Codes List of Changes Product Support Customer Service Customer Technical Support Center Technical Support Website Contacting the Customer Technical Support Center ITAR Technical Support CoreRGMII v2.0 Handbook 2

3 Introduction Core Overview Reduced gigabit media independent interface (RGMII) is a standard interface, which helps in reducing the number of signals required to connect a PHY to a MAC. CoreRGMII is responsible for providing the interface between a standard gigabit media independent interface (GMII) to RGMII conversion. The fifteen-signal GMII interface is converted into six-signal RGMII interface by using both edges of the clock. The IP core is compatible with the RGMII specification v2.0 that is designed to support the SmartFusion 2 systemon-chip (SoC) field programmable gate array (FPGA) device family. The SmartFusion2 Ethernet MAC (EMAC) supports IEEE /100/1000 Mbps Ethernet operation. Various configuration parameters or generics are applied to CoreRGMII core. Core Version This handbook supports the CoreRGMII version 2.0. Supported Families Key Features SmartFusion2 CoreRGMII is a configurable core and has the following features: Provides reduced pin-count interface for Ethernet PHYs Provides GMII interface towards the microcontroller subsystem (MSS)-side and RGMII interface on the PHY-side Supports 10/100/1000 Mbps mode operation Configurable parameter to select the default-on-reset line rate 10/100/1000 Mbps Configurable parameter for Core Management Interface Address Supports full-duplex operation at 10/100/1000 and half-duplex at 10/100 Supports "Broadcast" address capability Utilization and Performance Table 1 provides the utilization and performance data for the SmartFusion2 (M2S050T) device family. The provided data is only indicative. The overall device utilization and performance of the core is system dependent. Table 1 CoreRGMII Device Utilization and Performance Family TRANSFER_SPEED Sequential Logic Elements Combinatorial Total % Performance (MHz) SmartFusion2 (M2S050T) 10/100/ REFCLK = 125 Note: The data in this table was achieved using typical synthesis and layout settings. Frequency (in MHz) was set to 125 and speed grade of -1. CoreRGMII v2.0 Handbook 3

4 Functional Block Description CoreRGMII consists of two functional blocks the Conversion block and the Management Interface (MI) block, refer to Figure 1. The IP core selects 1000 Mbps, 100 Mbps, or 10 Mbps as default based on the configurable parameter DEF_SPD and subsequently adapts through firmware to the link line speed. RESET_IN_N CLK_RX CLK_TX MAC_GMII_TX_EN MAC_GMII_TX_ER MAC_GMII_RX_ER MAC_GMII_RX_DV MAC_GMII_CRS MAC_GMII_COL Conversion Block TX_CTL TD RX_CTL RD RESETN MAC_GMII_TXD MAC_GMII_RXD GMII_Interface RGMII_Interface MDIO MAC_GMII_MDC MAC_GMII_MDO_EN MAC_GMII_MDO MAC_GMII_MDI MI Block MDI_SPD Management Interface Block Figure 1 CoreRGMII Block Diagram The MI block decodes the MAC <-> CORE MDIO transactions and is used to select an appropriate clock conditioning circuitry (CCC) output for the negotiated line speed. The Core has a default address of 28(0x1C), but can be set from 0 to 31. Note: The use of the management interface block is only required if the link speed is not fixed to a constant value. CoreRGMII v2.0 Handbook 4

5 Conversion Block Conversion Block The conversion block converts GMII into RGMII using DDRIO and must connect to FPGA ports at the top-level. Protocol Boundaries The MSS Ethernet MAC operates in GMII mode and the PHY operates in RGMII mode. Hence, MAC_GMII_TX_CLK does not get generated by the PHY, but gets generated by Core controlled clock conditioning circuit. MAC_GMII_TX_CLK and MAC_GMII_GTX_CLK are supplied to the MSS by the same clock generated by the CCC. CoreRGMII v2.0 Handbook 5

6 Tool Flows Licensing CoreRGMII is license free. RTL Complete RTL source code is provided for the core. SmartDesign CoreRGMII is pre-installed in the SmartDesign IP deployment design environment or downloaded from the online repository. Figure 2 shows an example instantiated. The core can be configured using the configuration GUI within SmartDesign, as shown in Figure 3. For more information on using SmartDesign to instantiate and generate cores, refer to the Using DirectCore in Libero System-on-Chip (SoC) User Guide. Figure 2 SmartDesign CoreRGMII Instance View Figure 3 CoreRGMII Configurator CoreRGMII v2.0 Handbook 6

7 SmartDesign For RGMII, the PHY supplies the receive clock and the MAC supplies the transmit clock. A correctly configured CCC needs to support three line speeds. The core switches between 10, 100, and 1000 Mbps (2.5 MHz, 25 MHz, and 125 MHz respectively) and allows for glitchless clock switching. The CCC is configured as shown in Figure 4 and connected at instantiation hierarchy level as shown in Figure 5. This arrangement is used to minimize delay on the fastest clock speed. Figure 4 CCC Configuration Figure 5 CCC Instantiation Level Wiring In Figure 5: GL2 is fed back into the CLK0 input of the CCC GL0 drives CLK_TX on the core instantiation NGMUX?_ARST_N are connected to system reset NGMUX?_HOLD_N are tied high CoreRGMII v2.0 Handbook 7

8 Tool Flows The core contains a single RW register to control line speed, which is written by the firmware after the PHY completes auto-negotiation to maintain the correct clock speed selection. Signal MDI[0] (Reflects Configuration Register Bit 13) selects between 25 MHz and 2.5 MHz Connected to NGMUX2_SEL in Figure 5 Signal MDI[1] (which reflects Configuration Register Bit 6) selects between 125 MHz and either [25 MHz 2.5 MHz] Connected to NGMUX0_SEL in Figure 5 MAC_GMII_MDO, MAC_GMII_MDI, MAC_GMII_MDO_EN, and MAC_GMII_MDC connect directly to MSS ports of the same name. MAC_GMII_MDC and MDIO connect to the user PHY. RXC and TXC Clocks To capture the received data from the PHY, ensure that there is a 2 ns delay on the relevant clock. For RXC, this can be achieved using a combination of SmartFusion2 features. The programmable input delay can be used to delay RXC or a GCLKINT can be instantiated to distribute RXC. For the TX path, this can be achieved with the PHY local clock shifting. If this is not available on the user PHY, a second CCC can be used to generate the clock with 2 ns shift for driving the PHY. Simulation Flows There is currently no simulation flow for CoreRGMII. Synthesis in Libero SoC Figure 6 Programmable Input Delay By clicking Synthesis in Libero System-on-Chip (SoC), the Synthesis window displays the Synplicity project. Synplicity must be set for using the Verilog 2001 standard, if Verilog is used. Run must be selected to run Synthesis. Place-and-Route in Libero SoC To invoke Designer, Layout must be selected in the Libero SoC software. CoreRGMII must be run with the following place-and-route settings, refer to Figure 7: Timing Driven High Effort 8 CoreRGMII v2.0 Handbook

9 Timing Closure Using SmartTime Figure 7 Configuring Place-and-Route Timing Closure Using SmartTime Right-click Verify Timing in the Libero flow and select Open Interactively to invoke SmartTime. Setup and evaluate different timing parameters by using SmartTime interactively. To close the source synchronous timing of CoreRGMII, enable cross clock domain analysis. Refer to Figure 8 and Figure 9. Figure 8 Selecting Options from SmartTime Window CoreRGMII v2.0 Handbook 9

10 Tool Flows Receive Transmit The receive timing path consists of: PHY clock-to-out timing PCB traces FPGA package and wiring delays Figure 9 Enabling Cross Clock Domain Analysis Receive timing is done with respect to RXC, which is generated by the PHY. Typically, the RD and RX_CTL signals are matched to RXC in PCB traces. However, PHYs might generate these signals within a specified skew tolerance relative to RXC, PCB traces might not be exactly matched and the user might add a manufacturer determined delay to RXC through a PHY register. Therefore, the relative timing of RD and RX_CTL need to be determined with respect to RXC signals as generated by the PHY at the FPGA, prior to using the core, to set the input design constraints. Maximum delay value = maximum data trace delay - minimum clock trace delay + Tskew(PHY) Minimum delay value = maximum clock trace delay - minimum data trace delay -Tskew(PHY) The Transmit timing path consists of: FPGA Clock-to-out timing PCB traces To generate reports to assess timing of the external PHY, the clock needs to be propagated to the device pins using a generated clock, refer to Figure 14. Note: The reference clock in this example design is 50MHz, resulting in the displayed multiplier and divider factors. TD and TX_CTL path differences with respect to TXC and PHY setup and hold time must be accounted for the timing budget through the SmartTime constraints. (SETUP)Output maximum delay value = t SU (PHY) - minimum clock trace + maximum data trace (HOLD)Output minimum delay value = t H (PHY) +minimum data trace - maximum clock trace 10 CoreRGMII v2.0 Handbook

11 Timing Closure Using SmartTime Timing Constraints Timing constraints are required to allow SmartTime determine if the timing requirements to clocked components external to the FPGA for +ve and ve clocks are met. If constraints are not set, no violations will be reported. The constraints are presented separately in each section, but are gathered together in the appendix for convenience. The relevant section of the SmartTime Constraints Editor GUI is also presented. However, this tool can be accessed after the COMPILE step. For the initial run, Libero can be directed to use a file which includes these constraints. Note: Examples refer to the webserver demo must be modified as per the design hierarchy and signals. Max Delay and Min Delay Clock Generated Clock Input Delay Output Delay Clock Source Latency Max Delay and Min Delay SmartTime uses the current edge for Hold time analyses and the subsequent edge for Setup time analyses, by default. For CoreRGMII, advance the timing by one clock cycle to have the correct edges used for timing analysis, refer to Figure 10. Figure 10 Edges Used for Analysis This is achieved using set_min_delay and set_max_delay. These delay constraints advance the analyzed edge by one clock cycle to ensure that the correct edge is used for analyzing timing. set_min_delay from [get_ports { RD RX_CTL }] set_min_delay to [get_ports { TD TX_CTL }] CoreRGMII v2.0 Handbook 11

12 Tool Flows Figure 11 Setting Minimum Delay Constraint with SmartTime Constraint Editor set_max_delay from [get_ports { RD RX_CTL }] set_max_delay to [get_ports { TD TX_CTL }] Figure 12 Setting Maximum Delay Constraint with SmartTime Constraint Editor Clock The following clocks need to be created: create_clock -name { RXC } -period waveform { } { RXC } create_clock -name { MDC } -period waveform { } [get_pins { \ Webserver_TCP_0/Webserver_TCP_MSS_0/MSS_ADLIB_INST/INST_MSS_050_IP:MDCF }] Define the source_clock used to drive the CCC: create_clock -name { source_clock } -period waveform { } { source_clock } 12 CoreRGMII v2.0 Handbook

13 Timing Closure Using SmartTime Figure 13 Setting RXC Clock with SmartTime Constraint Editor Generated Clock The generated clocks are derived from the source clocks and must be defined to allow SmartTime analyze the timing of the paths they clock. create_generated_clock -name { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } \ -divide_by 2 -multiply_by 5 \ -source { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:CLK0_PAD } \ { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } The generated clock for TXC is important as it allows SmartTime to analyze the paths to the external PHY and determine, if the PHY setup and hold time are met. create_generated_clock -name { output_clock } \ -divide_by 1 \ -source { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } \ { TXC } Figure 14 Setting TXC Generated Clock Constraint with SmartTime Constraint Editor CoreRGMII v2.0 Handbook 13

14 Tool Flows Input Delay The input delay specifies the delay of the longest and shortest paths arriving at the specified input. In the following example, they are given by the skew of RD relative to RXC Figure 15 Setting Input Delay Constraints using SmartTime Constraint Editor set_input_delay -max -clock_fall clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -min -clock_fall clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -max clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -min clock { RXC } [get_ports { RD RX_CTL }] Output Delay The output delay specifies the delay for the longest and shortest path from the specified output to the captured edge. This represents a combinational path delay to a register outside the current design plus the library setup and hold time. When the data and clock paths are matched, then the delays are simply the setup and hold time of the PHY. In the following example, the PHY locally shifted clock is used, resulting in the apparent conflict. set_output_delay -max clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -min clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -max -clock_fall clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -min -clock_fall clock { output_clock } [get_ports { TD TX_CTL }] 14 CoreRGMII v2.0 Handbook

15 Timing Closure Using SmartTime Figure 16 Setting Output Delay Constraints using SmartTime Constraint Editor Clock Source Latency This is used to incorporate the jitter on the transmit and receive clocks: set_clock_latency -source -early -rise { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } set_clock_latency -source -early -fall { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } set_clock_latency -source -late -rise { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } set_clock_latency -source -late -fall { Webserver_TCP_0/CCC_0/CCC_INST/INST_CCC_IP:GL0 } set_clock_latency -source -early -rise { RXC } set_clock_latency -source -early -fall { RXC } set_clock_latency -source -late -rise { RXC } set_clock_latency -source -late -fall { RXC } Correcting Timing Failures Once the SmartTime timing constraints are set, minimum or maximum delay analysis can be run to determine whether or not the timing constraints are met. For the RX path, timing failures can be remedied using the SmartFusion2 programmable input delays, adjusting the delay between RD/RX_CTL and RXC until the timing is met. However, this requires multiple re-compiling of the design, so the I/O delay is updated in the timing tools. An alternative approach is to note the existing input delays, modify the input delay in SmartTime until timing constraints are met, then implement this delay in the programmable input delay given that one step is nominally ~100 ps, and finally revert the timing constraints back to their correct value and re-compiling. For the TX path, if second CCC is used to generate a shifted TXC clock for the PHY, the delay of the output clock can be adjusted. CoreRGMII v2.0 Handbook 15

16 Core Interfaces Signal descriptions for CoreRGMII are provided in Table 2. Table 2 CoreRGMII I/O Signals Name Direction Description Clock and Reset Signals CLK_RX Input RGMII Receive Clock from PHY From PHY to Core CLK_TX Input RGMII Transmit Clock From CCC to Core RESET_IN_N Input System reset. Active low asynchronous reset System Level Reset MSS Interface MAC_GMII_TXD [7:0] Input GMII transmit data From MSS to Core MAC_GMII_TX_EN Input Transmit enable From MSS to Core MAC_GMII_TX_ER Input Transmit Error From MSS to Core MAC_GMII_RXD[7:0] Output MII Receive data From Core to MSS MAC_GMII_RX_DV Output Receive data valid From Core to MSS MAC_GMII_RX_ER Output Receive error From Core to MSS MAC_GMII_COL Output Collision, considered asynchronous From Core to MSS MAC_GMII_CRS Output Carrier Sense, considered asynchronous From Core to MSS PHY Interface TD[3:0] Output Transmit Data to PHY From Core to PHY TX_CTL Output Transmit Control To PHY. The TX_CTL signal carries: MAC_GMII_TX_EN on the rising edge (MAC_GMII_TX_EN xor MAC_GMII_TX_ER) on the falling edge From Core to PHY RD[3:0] Input Receive Data from PHY From PHY to Core RX_CTL Input Receive Control from PHY. The RX_CTL signal carries: mac_gmii_rx_dv (data valid) on the rising edge (mac_gmii_rx_dv xor mac_gmii_rx_er ) on the falling edge From PHY to Core RESETN Output Reset Output to PHY From Core to PHY MDIO Inout Management Interface Between Core and PHY MSS GMII Interface MDI_SPD[1:0] Output CCC Clock Speed selection signals From Core to CCC MAC_GMII_MDC Input MII Clock From MSS to Core and PHY MAC_GMII_MDO_EN Input MII Output enable for Tristating BIBUF From MSS to Core MAC_GMII_MDO Input MII Data from MSS to Core/PHY From MSS to Core and PHY MAC_GMII_MDI Output MII Data(muxed between PHY & Core) From Core to MSS CoreRGMII v2.0 Handbook 16

17 Core Parameters Core Parameters CoreRGMII Configurable Options CoreRGMII configuration options are listed in Table 3. Use Configuration dialog box in SmartDesign to configure CoreRGMII. Table 3 CoreRMII Configuration Options Name Valid Range Default Description FAMILY 19 Must be set to the required FPGA family: 19: SmartFusion2 DEF_SPD : Select 10 Mbps mode as default 1: Select 100 Mbps mode as default 2: Select 1000 Mbps mode as default COR_ADDR Address of the core on the management interface. CoreRGMII v2.0 Handbook 17

18 Register Map and Descriptions CoreRGMII has one RW register at address 0 accessed through the management interface. Bit 13 controls the selection between 25 MHz and 2.5 MHz Bit 6 controls the selection between 125 MHz and either 25 MHz or 2.5 MHz Default reset speed is set at core instantiation; otherwise the speed is set as listed in Table 4. Table 4 Speed Settings for Register Bit 6 and Bit 13 Bit 6 Bit 13 Description 1 1 Reserved Mbps Mbps Mbps CoreRGMII v2.0 Handbook 18

19 Appendix 1 - SmartTime Constraints create_clock -name { RXC } -period waveform { } { RXC } create_clock -name { CLK0_PAD } -period waveform { } { CLK0_PAD } create_clock -name { MDC } -period waveform { } [get_pins { \ Webserver_TCP_0/Webserver_TCP_MSS_0/MSS_ADLIB_INST/INST_MSS_050_IP:MDCF }] create_generated_clock -name { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } \ -divide_by 2 -multiply_by 5 \ -source { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:clk0_pad } \ { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } create_generated_clock -name { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl2 } \ -divide_by 10 -multiply_by 5 \ -source { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:clk0_pad } \ { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl2 } create_generated_clock -name { output_clock } -divide_by 1 \ -source { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } { TXC } set_input_delay -max -clock_fall clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -min -clock_fall clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -max clock { RXC } [get_ports { RD RX_CTL }] set_input_delay -min clock { RXC } [get_ports { RD RX_CTL }] set_output_delay -max -clock_fall clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -min -clock_fall clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -max clock { output_clock } [get_ports { TD TX_CTL }] set_output_delay -min clock { output_clock } [get_ports { TD TX_CTL }] set_max_delay from [get_ports { RD RX_CTL }] set_max_delay to [get_ports { TD TX_CTL }] set_min_delay from [get_ports { RD RX_CTL }] set_min_delay to [get_ports { TD TX_CTL }] set_clock_latency -source -early -rise { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } set_clock_latency -source -early -fall { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } set_clock_latency -source -late -rise { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } set_clock_latency -source -late -fall { <design_name>/ccc_0/ccc_inst/inst_ccc_ip:gl0 } set_clock_latency -source -early -rise { RXC } set_clock_latency -source -early -fall { RXC } set_clock_latency -source -late -rise { RXC } set_clock_latency -source -late -fall { RXC } CoreRGMII v2.0 Handbook 19

20 Ordering Information Ordering Codes CoreRGMII can be ordered through the local Sales Representative. It must be ordered using the following number scheme: CoreRGMII-XX, where XX is listed in Table 5. Table 5 Ordering Codes XX RM RTL source multi-use multi-site license. Note: CoreRGMII is license free. Description CoreRGMII v2.0 Handbook 20

21 List of Changes The following table lists critical changes that were made in each revision of the document. Date Change Page December 2014 Initial release. NA Note: The revision number is located in the part number after the hyphen. The part number is displayed at the bottom of the last page of the document. The digits following the slash indicate the month and year of publication. CoreRGMII v2.0 Handbook 21

22 Product Support Microsemi SoC Products Group backs its products with various support services, including Customer Service, Customer Technical Support Center, a website, electronic mail, and worldwide sales offices. This appendix contains information about contacting Microsemi SoC Products Group and using these support services. Customer Service Contact Customer Service for non-technical product support, such as product pricing, product upgrades, update information, order status, and authorization. From North America, call From the rest of the world, call Fax, from anywhere in the world Customer Technical Support Center Microsemi SoC Products Group staffs its Customer Technical Support Center with highly skilled engineers who can help answer your hardware, software, and design questions about Microsemi SoC Products. The Customer Technical Support Center spends a great deal of time creating application notes, answers to common design cycle questions, documentation of known issues and various FAQs. So, before you contact us, visit our online resources. It is very likely we have already answered your questions. Technical Support Website For Microsemi SoC Products Support, visit You can browse a variety of technical and non-technical information on the Microsemi SoC Products Group home page, at Contacting the Customer Technical Support Center Highly skilled engineers staff the Technical Support Center. The Technical Support Center can be contacted by or through the Microsemi SoC Products Group website. You can communicate your technical questions to our address and receive answers back by , fax, or phone. Also, if you have design problems, you can your design files to receive assistance. We constantly monitor the account throughout the day. When sending your request to us, please be sure to include your full name, company name, and your contact information for efficient processing of your request. The technical support address is soc_tech@microsemi.com. My Cases Microsemi SoC Products Group customers may submit and track technical cases online by going to My Cases. CoreRGMII v2.0 Handbook 22

23 ITAR Technical Support Outside the U.S. Customers needing assistance outside the US time zones can either contact technical support via or contact a local sales office. Sales office listings can be found at ITAR Technical Support For technical support on RH and RT FPGAs that are regulated by International Traffic in Arms Regulations (ITAR), contact us via soc_tech_itar@microsemi.com. Alternatively, within My Cases, select Yes in the ITAR drop-down list. For a complete list of ITAR-regulated Microsemi FPGAs, visit the ITAR web page. CoreRGMII v2.0 Handbook 23

24 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA USA Within the USA: +1 (800) Outside the USA: +1 (949) Sales: +1 (949) Fax: +1 (949) Microsemi Corporation. All rights reserved. Microsemi and the Microsemi logo are trademarks of Microsemi Corporation. All other trademarks and service marks are the property of their respective owners. Microsemi Corporation (Nasdaq: MSCC) offers a comprehensive portfolio of semiconductor and system solutions for communications, defense & security, aerospace and industrial markets. Products include high-performance and radiation-hardened analog mixed-signal integrated circuits, FPGAs, SoCs and ASICs; power management products; timing and synchronization devices and precise time solutions, setting the world s standard for time; voice processing devices; RF solutions; discrete components; security technologies and scalable anti-tamper products; Power-over-Ethernet ICs and midspans; as well as custom design capabilities and services. Microsemi is headquartered in Aliso Viejo, Calif., and has approximately 3,400 employees globally. Learn more at Microsemi makes no warranty, representation, or guarantee regarding the information contained herein or the suitability of its products and services for any particular purpose, nor does Microsemi assume any liability whatsoever arising out of the application or use of any product or circuit. The products sold hereunder and any other products sold by Microsemi have been subject to limited testing and should not be used in conjunction with mission-critical equipment or applications. Any performance specifications are believed to be reliable but are not verified, and Buyer must conduct and complete all performance and other testing of the products, alone and together with, or installed in, any end-products. Buyer shall not rely on any data and performance specifications or parameters provided by Microsemi. It is the Buyer s responsibility to independently determine suitability of any products and to test and verify the same. The information provided by Microsemi hereunder is provided as is, where is and with all faults, and the entire risk associated with such information is entirely with the Buyer. Microsemi does not grant, explicitly or implicitly, to any party any patent rights, licenses, or any other IP rights, whether with regard to such information itself or anything described by such information. Information provided in this document is proprietary to Microsemi, and Microsemi reserves the right to make any changes to the information in this document or to any products and services at any time without notice /12.14

CoreHPDMACtrl v2.1. Handbook

CoreHPDMACtrl v2.1. Handbook CoreHPDMACtrl v2. Handbook CoreHPDMACtrl v2. Handbook Table of Contents Introduction...5 General Description... 5 Key Features... 5 Core Version... 5 Supported Families... 5 Utilization and Performance...

More information

CoreMDIO_APB v2.0. Handbook

CoreMDIO_APB v2.0. Handbook CoreMDIO_APB v2.0 Handbook Revision History Date Revision Change August 2015 1 Initial release Confidentiality Status This is a non-confidential document. 2 CoreMDIO_APB v2.0 Handbook Table of Contents

More information

Timing Constraints Editor User Guide

Timing Constraints Editor User Guide Libero SoC v11.8 SP1 and SP2 NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error when clicked. View

More information

CoreConfigMaster v2.1. Handbook

CoreConfigMaster v2.1. Handbook CoreConfigMaster v2.1 Handbook CoreConfigMaster v2.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 3 Interface

More information

ZL70550 ADK Release Notes

ZL70550 ADK Release Notes ZL70550 ADK Release Notes ZL70550 ADK Release Notes Table of Contents Release 1.0.0 (2015-06-01)... 3 Known Bugs and Issues in 1.0.0... 3 Boards Provided with 1.0.0... 3 Appendix A: Compatibility between

More information

CoreSMIP v2.0. Handbook

CoreSMIP v2.0. Handbook CoreSMIP v2.0 Handbook CoreSMIP v2.0 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported FPGA Families... 3 Core Version... 3 Interface Description... 5 Parameters...

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial Table of Contents Introduction... 3 Tutorial Requirements... 3 Synphony Model Compiler ME (Microsemi

More information

CoreResetP v7.0. Handbook

CoreResetP v7.0. Handbook CoreResetP v7.0 Handbook CoreResetP v5.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 4 Supported Microsemi FPGA Families... 4 Core Version... 4 Interface Description...

More information

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside

More information

ENT-AN0125 Application Note PHY, Integrated PHY-Switch VeriPHY - Cable Diagnostics Feature

ENT-AN0125 Application Note PHY, Integrated PHY-Switch VeriPHY - Cable Diagnostics Feature ENT-AN0125 Application Note PHY, Integrated PHY-Switch VeriPHY - Cable Diagnostics Feature Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside

More information

Microsemi SmartFusion 2 SoC FPGA and IGLOO 2 FPGA

Microsemi SmartFusion 2 SoC FPGA and IGLOO 2 FPGA Imaging and Video Solution Microsemi SmartFusion 2 SoC FPGA and IGLOO 2 FPGA Infrared Camera Head-up Medical Imaging Digital Signage Drone Camera Human Machine Machine Vision Driver Assistance System Microsemi

More information

SmartFusion2 MSS. CAN Configuration

SmartFusion2 MSS. CAN Configuration SmartFusion2 MSS CAN Configuration SmartFusion2 MSS CAN Configuration Table of Contents Introduction...................................................................... 3 1 Configuration Options...............................................................

More information

SmartFusion2 MSS. MMUART Configuration

SmartFusion2 MSS. MMUART Configuration SmartFusion2 MSS MMUART Configuration SmartFusion2 MSS MMUART Configuration Table of Contents Introduction...................................................................... 3 1 Configuration Options...............................................................

More information

Network Time Synchronization Why It is Crucial for Regulatory Compliance in Enterprise Applications

Network Time Synchronization Why It is Crucial for Regulatory Compliance in Enterprise Applications Power Matters. TM Network Time Synchronization Why It is Crucial for Regulatory Compliance in Enterprise Applications Satish Kikkeri Director of Marketing, Enterprise Programs June 2013 1 Agenda Microsemi

More information

SmartFusion2 MSS. SPI Configuration

SmartFusion2 MSS. SPI Configuration SmartFusion2 MSS SPI Configuration SmartFusion2 MSS SPI Configuration Table of Contents Introduction...................................................................... 3 1 Configuration Options...............................................................

More information

UG0649 User Guide. Display Controller. February 2018

UG0649 User Guide. Display Controller. February 2018 UG0649 User Guide Display Controller February 2018 Contents 1 Revision History... 1 1.1 Revision 5.0... 1 1.2 Revision 4.0... 1 1.3 Revision 3.0... 1 1.4 Revision 2.0... 1 1.5 Revision 1.0... 1 2 Introduction...

More information

SyncServer S600/S650 Options, Upgrades and Antenna Accessories

SyncServer S600/S650 Options, Upgrades and Antenna Accessories DATASHEET SyncServer S600/S650 Options, Upgrades and Antenna Accessories Maximize Performance and Flexibility Options and Upgrades Security Protocol License Rubidium Atomic Oscillator upgrade OCXO Oscillator

More information

UG0648 User Guide Motor Control Libero Project

UG0648 User Guide Motor Control Libero Project UG0648 User Guide Motor Control Libero Project Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax: +1 (949)

More information

Enhanced Prediction of Interconnect delays for FPGA Synthesis using MATLAB

Enhanced Prediction of Interconnect delays for FPGA Synthesis using MATLAB Power Matters. TM Enhanced Prediction of Interconnect delays for FPGA Synthesis using MATLAB Geetesh More (Sr. Software Engineer) Kristofer Vorwerk (Principal Software Engineer) Arun Kundu (Director, Software

More information

Libero SoC v11.9 SP2 Release Notes 11/2018

Libero SoC v11.9 SP2 Release Notes 11/2018 Libero SoC v11.9 SP2 Release Notes 11/2018 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax: +1 (949)

More information

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User's Guide Table of Contents SmartFusion2

More information

CoreAPB3 v4.1. Handbook

CoreAPB3 v4.1. Handbook CoreAPB3 v4.1 Handbook CoreAPB3 v4.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 5 Supported Microsemi FPGA Families... 5 Core Version... 5 Supported Interfaces... 5

More information

CoreGPIO v3.1. Handbook

CoreGPIO v3.1. Handbook CoreGPIO v3.1 Handbook Table of Contents Introduction...5 Core Overview... 5 Key Features... 5 Supported Families... 5 Core Version... 6 Supported Interfaces... 6 Device Utilization and Performance...

More information

UG0693 User Guide. Image Edge Detection. February 2018

UG0693 User Guide. Image Edge Detection. February 2018 UG0693 User Guide Image Edge Detection February 2018 Contents 1 Revision History... 1 1.1 Revision 3.0... 1 1.2 Revision 2.0... 1 1.3 Revision 1.0... 1 2 Introduction... 2 3 Hardware Implementation...

More information

SmartFusion2 MSS. I2C Configuration

SmartFusion2 MSS. I2C Configuration SmartFusion2 MSS I2C Configuration SmartFusion2 MSS I2C Configuration Table of Contents Introduction...................................................................... 3 1 Configuration Options...............................................................

More information

UG0693 User Guide Image Edge Detection

UG0693 User Guide Image Edge Detection UG0693 User Guide Image Edge Detection Microsemi Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136 Fax:

More information

DG0723 Demo Guide SmartFusion2 Imaging and Video Kit MIPI CSI-2

DG0723 Demo Guide SmartFusion2 Imaging and Video Kit MIPI CSI-2 DG0723 Demo Guide SmartFusion2 Imaging and Video Kit MIPI CSI-2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

MML4400 Series Datasheet RoHS-Compliant Fast Surface Mount MRI Protection Diodes

MML4400 Series Datasheet RoHS-Compliant Fast Surface Mount MRI Protection Diodes MML4400 Series Datasheet RoHS-Compliant Fast Surface Mount MRI Protection Diodes Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the

More information

MIPI CSI-2 Receiver Decoder for PolarFire

MIPI CSI-2 Receiver Decoder for PolarFire UG0806 User Guide MIPI CSI-2 Receiver Decoder for PolarFire June 2018 Contents 1 Revision History... 1 1.1 Revision 1.1... 1 1.2 Revision 1.0... 1 2 Introduction... 2 3 Hardware Implementation... 3 3.1

More information

UG0812 User Guide. T-Format Interface. February 2018

UG0812 User Guide. T-Format Interface. February 2018 UG0812 User Guide T-Format Interface February 2018 Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Introduction... 2 2.1 Key Features... 2 3 Hardware Implementation... 4 3.1 Error Handling...

More information

SmartDesign MSS. Configurator Overview

SmartDesign MSS. Configurator Overview SmartDesign MSS Configurator Overview Libero IDE Software Table of Contents Introduction...................................................................... 3 1 Design Flow......................................................................

More information

Libero SoC v11.8 Service Pack 2 Release Notes 11/2017

Libero SoC v11.8 Service Pack 2 Release Notes 11/2017 Libero SoC v11.8 Service Pack 2 Release Notes 11/2017 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax:

More information

AC342 Application Note CQFP to CLGA Adapter Socket

AC342 Application Note CQFP to CLGA Adapter Socket AC342 Application Note CQFP to CLGA Adapter Socket Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales:

More information

UG0446 User Guide SmartFusion2 and IGLOO2 FPGA High Speed DDR Interfaces

UG0446 User Guide SmartFusion2 and IGLOO2 FPGA High Speed DDR Interfaces UG0446 User Guide SmartFusion2 and IGLOO2 FPGA High Speed DDR Interfaces Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1

More information

MAICMMC40X120 Application Note Power Core Module Mounting and Thermal Interface

MAICMMC40X120 Application Note Power Core Module Mounting and Thermal Interface MAICMMC40X120 Application Note Power Core Module Mounting and Thermal Interface Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the

More information

0912GN-120E/EL/EP Datasheet E-Series GaN Transistor

0912GN-120E/EL/EP Datasheet E-Series GaN Transistor 0912GN-120E/EL/EP Datasheet E-Series GaN Transistor Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax:

More information

DG0598 Demo Guide SmartFusion2 Dual-Axis Motor Control Starter Kit

DG0598 Demo Guide SmartFusion2 Dual-Axis Motor Control Starter Kit DG0598 Demo Guide SmartFusion2 Dual-Axis Motor Control Starter Kit Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949)

More information

1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver

1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver 1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

0912GN-50LE/LEL/LEP Datasheets E-Series GaN Transistor Driver

0912GN-50LE/LEL/LEP Datasheets E-Series GaN Transistor Driver 0912GN-50LE/LEL/LEP Datasheets E-Series GaN Transistor Driver Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

Schottky Surface Mount Limiting Diode Driver RoHS Compliant

Schottky Surface Mount Limiting Diode Driver RoHS Compliant GC9952-6LP Datasheet Schottky Surface Mount Limiting Diode Driver RoHS Compliant Preliminary December 2017 Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Product Overview... 2 2.1 Applications...

More information

Time Synchronization Trends for Critical Infrastructure. Randy Brudzinski Vice President Microsemi

Time Synchronization Trends for Critical Infrastructure. Randy Brudzinski Vice President Microsemi Time Synchronization Trends for Critical Infrastructure Randy Brudzinski Vice President Microsemi Power Matters. TM Microsemi Corporation Time Synchronization Trends for Critical Infrastructure Randy Brudzinski

More information

Series 8 (12 Gbps) and Series 7 (6 Gbps) Technical Brief Flexible Configuration Options for Microsemi Adaptec SAS/SATA RAID Adapters

Series 8 (12 Gbps) and Series 7 (6 Gbps) Technical Brief Flexible Configuration Options for Microsemi Adaptec SAS/SATA RAID Adapters Series 8 (12 Gbps) and Series 7 (6 Gbps) Technical Brief Flexible Configuration Options for Microsemi Adaptec SAS/SATA RAID Adapters 08 2016 Series 8 and Series 7 Flexible Configuration High-density rack

More information

VHDL VITAL. Simulation Guide For Libero SoC v11.8

VHDL VITAL. Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide Table of Contents Introduction...................................................................... 3 Document Assumptions......................................................................

More information

Spatial Debug & Debug without re-programming in Microsemi FPGAs

Spatial Debug & Debug without re-programming in Microsemi FPGAs Power Matters. TM Spatial Debug & Debug without re-programming in Microsemi FPGAs Pankaj Shanker, Aditya Veluri, Kinshuk Sharma Systems Validation Group 21 Feb 2016 1 Agenda Traditional debug methods and

More information

Zero Recovery Silicon Carbide Schottky Diode

Zero Recovery Silicon Carbide Schottky Diode MSC010SDA070K Datasheet Zero Recovery Silicon Carbide Schottky Diode Final December 2017 Contents 1 Revision History... 1 1.1 Revision A... 1 2 Product Overview... 2 2.1 Features... 2 2.2 Benefits... 2

More information

2731GN-120V Datasheet Class-AB GaN-on-SiC HEMT Transistor

2731GN-120V Datasheet Class-AB GaN-on-SiC HEMT Transistor 2731GN-120V Datasheet Class-AB GaN-on-SiC HEMT Transistor Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

Microsemi Corporation: CN18002

Microsemi Corporation: CN18002 : CN18002 November 6, 2017 Customer Notification No: CN18002 Customer Advisory Notice (CAN) Change Classification: Minor Subject RTG4 FPGA Family Changes Summary This document describes five Customer Advisory

More information

User Guide. PD-IM MH and PD-IM T4H Four 2-Pair Ports and Four 4-Pair Ports Evaluation Boards

User Guide. PD-IM MH and PD-IM T4H Four 2-Pair Ports and Four 4-Pair Ports Evaluation Boards User Guide PD-IM-7604+4MH and PD-IM-7604+4T4H Four 2-Pair Ports and Four 4-Pair Ports Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Product Overview... 2 2.1 Evaluation System Features... 4

More information

SmartFusion2 MSS. DDR Memory Simulation

SmartFusion2 MSS. DDR Memory Simulation SmartFusion2 MSS DDR Memory Simulation SmartFusion2 MSS DDR Memory Simulation Table of Contents Introduction...................................................................... 3 1 Design Testbench Setup

More information

DG0849 Demo Guide PolarFire Dual Camera Video Kit

DG0849 Demo Guide PolarFire Dual Camera Video Kit DG0849 Demo Guide Microsemi Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136 Fax: +1 (949) 215-4996 Email:

More information

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Ultrafast Soft Recovery Rectifier Diode

Ultrafast Soft Recovery Rectifier Diode APT30DQ100BG Datasheet Ultrafast Soft Recovery Rectifier Diode Final April 2018 Contents 1 Revision History... 1 1.1 Revision D... 1 1.2 Revision C... 1 1.3 Revision B... 1 1.4 Revision A... 1 2 Product

More information

UG0644 User Guide. DDR AXI Arbiter. February 2018

UG0644 User Guide. DDR AXI Arbiter. February 2018 UG0644 User Guide DDR AXI Arbiter February 2018 Contents 1 Revision History... 1 1.1 Revision 5.0... 1 1.2 Revision 4.0... 1 1.3 Revision 3.0... 1 1.4 Revision 2.0... 1 1.5 Revision 1.0... 1 2 Introduction...

More information

Power Modules with Phase-Change Material

Power Modules with Phase-Change Material AN1910 Application Note Power Modules with Phase-Change Material Final February 2018 Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Product Overview... 2 3 Features and Properties... 3 4 Optical

More information

UG0850 User Guide PolarFire FPGA Video Solution

UG0850 User Guide PolarFire FPGA Video Solution UG0850 User Guide PolarFire FPGA Video Solution Microsemi Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136

More information

Control Devices Surface Mount Input-Limiting Diode Element

Control Devices Surface Mount Input-Limiting Diode Element GC4212-6LP Datasheet Control Devices Surface Mount Input-Limiting Diode Element Released December 2017 Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Product Overview... 2 2.1 Applications...

More information

MMS006AA Datasheet DC 20 GHz GaAs MMIC SP2T Non-Reflective Switch

MMS006AA Datasheet DC 20 GHz GaAs MMIC SP2T Non-Reflective Switch MMS006AA Datasheet DC 20 GHz GaAs MMIC SP2T Non-Reflective Switch Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949)

More information

Microsemi Adaptec Trusted Storage Solutions. A complete portfolio of 12 Gbps Host Bus Adapters, RAID Adapters, SAS Expander and Cables

Microsemi Adaptec Trusted Storage Solutions. A complete portfolio of 12 Gbps Host Bus Adapters, RAID Adapters, SAS Expander and Cables Microsemi Trusted Storage Solutions A complete portfolio of 12 Gbps Host Bus Adapters, RAID Adapters, SAS Expander and Cables Microsemi HBA 1000 Series PCIe Gen 3 12 Gbps Host Bus Adapter The new Microsemi

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide NOTE: PDF files are intended to be viewed on the printed page; links and

More information

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point

More information

Achieve Peak Performance

Achieve Peak Performance RF/Microwave GaN Power Transistors Achieve Peak Performance General Purpose Surface Mount and Drivers CW and Pulsed Power L- Avionics and Radar S- Radar C- Radar and Communications General Purpose Surface

More information

Microsemi Secured Connectivity FPGAs

Microsemi Secured Connectivity FPGAs IoT Solutions Microsemi Secured Connectivity FPGAs SmartFusion2 SoC FPGAs Low Power Small Form Factors Scalable Security Secured Connectivity FPGAs Best in Class for IoT Infrastructure The IoT Infrastructure

More information

GC4701-6LP Datasheet RoHS-Compliant Control Devices DC 15 GHz Surface Mount Limiter PIN Diode

GC4701-6LP Datasheet RoHS-Compliant Control Devices DC 15 GHz Surface Mount Limiter PIN Diode GC4701-6LP Datasheet RoHS-Compliant Control Devices DC 15 GHz Surface Mount Limiter PIN Diode Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113

More information

Next Generation Power Solutions Solving Real World Interface Issues

Next Generation Power Solutions Solving Real World Interface Issues Power Matters. TM Next Generation Power Solutions Solving Real World Interface Issues Microsemi Space Forum 2015 Kent Brooten World Wide Sales Manager 1 Agenda ISS Overview Impedance Matching Inrush Current

More information

Looking for a Swiss knife for storage ecosystem management? A comparative study of SMI-S, Redfish and Swordfish

Looking for a Swiss knife for storage ecosystem management? A comparative study of SMI-S, Redfish and Swordfish Power Matters. TM Looking for a Swiss knife for storage ecosystem management? A comparative study of SMI-S, Redfish and Swordfish Anand Nagarajan and Sona Nagarajan 06/25/2017 1 Agenda Storage management

More information

Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017

Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017 Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

User Guide. SparX-III PoE/PoE+ Reference Design

User Guide. SparX-III PoE/PoE+ Reference Design User Guide Contents 1 Revision History... 1 1.1 Revision 1.0... 1 2 Introduction... 2 3 Quick Start... 3 4 PoE and PoE+ Overview... 4 4.1 PoE... 4 4.2 PoE+... 4 5... 5 5.1 Hardware Design... 5 5.1.1 Evaluation

More information

Core System Services Lab - How to Use. Revision 4.0

Core System Services Lab - How to Use. Revision 4.0 Core System Services Lab - How to Use Revision 4.0 February 2016 Table of Contents Introduction... 3 Design Description... 4 Components Used... 4 Software Requirements... 5 System Requirements... 5 Hardware

More information

SmartDesign MSS. Cortex TM -M3 Configuration

SmartDesign MSS. Cortex TM -M3 Configuration SmartDesign MSS Cortex TM -M3 Configuration Libero IDE Software Table of Contents Configuration Options.............................................................. 3 Configuration Options.......................................................................

More information

ZL ZL30260-ZL30267 and ZL40250-ZL30253 Evaluation Software User Manual. November 2015

ZL ZL30260-ZL30267 and ZL40250-ZL30253 Evaluation Software User Manual. November 2015 ZL30267 ZL30260-ZL30267 and ZL40250-ZL30253 Evaluation Software User Manual. November 2015 Table of Contents Table of Contents... 2 Introduction... 3 Supported Devices... 3 Related Documents... 3 Software

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

UG0776 User Guide PolarFire FPGA Design Constraints

UG0776 User Guide PolarFire FPGA Design Constraints UG0776 User Guide PolarFire FPGA Design Constraints NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an

More information

SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide

SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide SmartFusion2, IGLOO2, and RTG4 Designing with Blocks for Libero SoC v11.8 in the Enhanced Constraint Flow User Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references

More information

Core1553BRT v4.2 Release Notes

Core1553BRT v4.2 Release Notes Core1553BRT v4.2 Release Notes These release notes accompany the production release for Core1553BRT. This document provides details about the features, enhancements, system requirements, supported families,

More information

CoreSDLC Driver User s Guide. Version 2.0

CoreSDLC Driver User s Guide. Version 2.0 CoreSDLC Driver User s Guide Version 2.0 Table of Contents Introduction... 5 Features... 5 Supported Hardware IP... 5 Files Provided... 7 Documentation... 7 Driver Source Code... 7 Example Code... 7 Driver

More information

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to DDR Memory User s Guide

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to DDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to DDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to DDR Memory User s Guide Table of Contents SmartFusion2

More information

SmartDesign MSS. Embedded FlashROM (efrom) Configuration

SmartDesign MSS. Embedded FlashROM (efrom) Configuration SmartDesign MSS Embedded FlashROM (efrom) Configuration Libero IDE Software Table of Contents Configuring Memory Regions........................................................ 3 Creating a Region..........................................................................

More information

CoreCORDIC v4.0. Handbook

CoreCORDIC v4.0. Handbook CoreCORDIC v4.0 Handbook Revision History Revision History Date Revision Change 15 June 2015 V6 Sixth release Confidentiality Status This is a non-confidential document. 2 CoreCORDIC v4.0 Handbook Table

More information

SPI-DirectC v1.1. User s Guide

SPI-DirectC v1.1. User s Guide SPI-DirectC v1.1 User s Guide SPI-DirectC v1.1 User s Guide Table of Contents 1 System Overview.................................................................. 4 Systems with Direct Access to Memory..........................................................

More information

VSC8254, VSC8257, and VSC Timestamp Out-of- Sync (OOS) Summary

VSC8254, VSC8257, and VSC Timestamp Out-of- Sync (OOS) Summary ENT-AN1243 Application Note VSC8254, VSC8257, and VSC8258 1588 Timestamp Out-of- Sync (OOS) Summary August 2017 Contents 1 Revision History 1.0... 1 1.1 Revision 1.0... 1 2 1588 Timestamp Out-of-Sync (OSS)...

More information

AC412 Application Note IGLOO2 FPGA Flash*Freeze Entry and Exit - Libero SoC v11.8

AC412 Application Note IGLOO2 FPGA Flash*Freeze Entry and Exit - Libero SoC v11.8 AC412 Application Note IGLOO2 FPGA Flash*Freeze Entry and Exit - Libero SoC v11.8 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the

More information

Reliable and Scalable Midspan Injectors and Switches

Reliable and Scalable Midspan Injectors and Switches Power over Ethernet (PoE) Reliable and Scalable Midspan Injectors and Switches The Pioneers of PoE PoE Simplified Embracing the PoE-driven Future PoE Systems: Indoor, Outdoor, Industrial PoE Systems: Accessories

More information

SmartFusion IEEE 1588 Reference Design User s Guide

SmartFusion IEEE 1588 Reference Design User s Guide SmartFusion IEEE 1588 Reference Design User s Guide SmartFusion IEEE 1588 Reference Design User s Guide Table of Contents Introduction......................................................................

More information

SmartFusion2 - Accessing External SDRAM through Fabric - Libero SoC v11.5 TU0311 Tutorial. Superseded

SmartFusion2 - Accessing External SDRAM through Fabric - Libero SoC v11.5 TU0311 Tutorial. Superseded SmartFusion2 - Accessing External SDRAM through Fabric - Libero SoC v11.5 TU0311 Tutorial Table of Contents Table of Contents Accessing External SDRAM through Fabric - Libero SoC v11.5... 3 Introduction...

More information

SmartFusion2. Two-Port Large SRAM Configuration

SmartFusion2. Two-Port Large SRAM Configuration SmartFusion2 Two-Port Large SRAM Configuration SmartFusion2 Two-Port Large SRAM Configuration Table of Contents Introduction...................................................................... 3 1 Functionality......................................................................

More information

HB0627 CoreSGMII v3.2 Handbook

HB0627 CoreSGMII v3.2 Handbook HB0627 CoreSGMII v3.2 Handbook 03 2017 Microsemi makes no warranty, representation, or guarantee regarding the information contained herein or the suitability of its products and services for any particular

More information

Accessing External SDRAM through Fabric. Libero SoC Flow Tutorial for the SmartFusion2 SoC FPGA Superseded

Accessing External SDRAM through Fabric. Libero SoC Flow Tutorial for the SmartFusion2 SoC FPGA Superseded Accessing External SDRAM through Fabric Libero SoC Flow Tutorial for the SmartFusion2 SoC FPGA Accessing External SDRAM through Fabric: Libero SoC Flow Tutorial for the SmartFusion2 SoC FPGA Table of

More information

Interrupting SmartFusion MSS Using FABINT

Interrupting SmartFusion MSS Using FABINT Application Note AC339 Interrupting SmartFusion MSS Using FABINT Table of Contents Introduction................................................ 1 Design Example Overview........................................

More information

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros Application Note AC201 Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros Table of Contents Introduction................................................ 1 SX and Related Architectures.......................................

More information

Understanding 802.3at. PoE Plus Standard Increases Available Power

Understanding 802.3at. PoE Plus Standard Increases Available Power Understanding 0.at PoE Plus Standard Increases Available Power June 0 Overview Overview Power over Ethernet (PoE) has greatly eased the deployment of many IP-enabled devices by allowing the same cable

More information

SmartFusion2 and IGLOO2. High Speed Serial Interface Configuration

SmartFusion2 and IGLOO2. High Speed Serial Interface Configuration SmartFusion2 and IGLOO2 High Speed Serial Interface Configuration SmartFusion2 and IGLOO2 High Speed Serial Interface Configuration Table of Contents Introduction......................................................................

More information

SmartTime for Libero SoC v11.5

SmartTime for Libero SoC v11.5 SmartTime for Libero SoC v11.5 User s Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

Reliable and Scalable Midspan Injectors and Switches

Reliable and Scalable Midspan Injectors and Switches Power over Ethernet (PoE) Reliable and Scalable Midspan Injectors and Switches The Pioneers of PoE PoE Simplified Embracing the PoE-driven Future PoE Systems: Indoor PoE Systems: Outdoor PoE Systems: Industrial

More information

AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2

AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2 AC0446 Application Note Optimization Techniques to Improve DDR Throughput for RTG4 Devices - Libero SoC v11.8 SP2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA:

More information

UG0725 User Guide PolarFire FPGA Device Power-Up and Resets

UG0725 User Guide PolarFire FPGA Device Power-Up and Resets UG0725 User Guide PolarFire FPGA Device Power-Up and Resets Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

Designing RGMII Interface with FPGA and HardCopy Devices

Designing RGMII Interface with FPGA and HardCopy Devices Designing RGMII Interface with FPGA and HardCopy Devices November 2007, ver. 1.0 Application Note 477 Introduction The Reduced Gigabit Media Independent Interface (RGMII) is an alternative to the IEEE

More information