FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

Size: px
Start display at page:

Download "FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys"

Transcription

1 White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T. Chen Design Methodology and Service Technical Marketing Manager, TSMC Introduction The mobile and computing markets continue to innovate at a dramatic rate delivering more and more performance in smaller and smaller form factors with higher and higher power efficiencies. One of the keys to enabling this is the semiconductor technology that provides the platform for building the system on a chip (SoC) components at the heart of these devices. The underlying transistor technology most SoCs are built on today uses the planar MOSFET transistor. This tiny four terminal electronic device has been used for amplifying and switching electronic signals for many decades and, until now, has been shrunk successfully to deliver on Moore s Law doubling the capacity of integrated circuits approximately every two years. However, the consumer s insatiable demand for better power, performance silicon real estate and cost has out grown the capabilities of the planar MOSFETs, the type of transistor used for most of the CMOS process nodes until now. One of the major challenges with scaling planar MOSFETs over recent process technology generations has been in delivering on the switching speeds in large SoCs at reduced power consumption levels. One of the key limitations impacting power in planar MOSFETs is the short channel effects and in particular the off-state leakage current which increases the idle power unnecessarily. Power sensitive electronics in computing and mobile products spend a large amount of their life in the off state preserving battery life and/or minimizing heat-generating power, a requirement that is proving harder to meet with planar MOSFET-based designs. The semiconductor industry has been very innovative finding ways to minimize the shortcomings of planar FETs over recent process generations, while seeking a strong alternative. Finally, a viable solution has emerged, the FinFET. This evolution of the MOSFET has proven to be the best choice for next generation processes but brings with it some new challenges for manufacturing and design that require careful consideration if the benefits with FinFETs can be capitalized on. To ensure a smooth fast transition to this new technology, TSMC has partnered with EDA leaders like Synopsys to fully understand the impact of introducing this new transistor, striving to solve the design complexities introduced by this new three dimensional or 3-D device introducing new modeling techniques for tools to use while minimizing the impact on existing design flows and methodologies.

2 This white paper discusses the major challenges with FinFETs and how TSMC has been collaborating with Synopsys, one of their ecosystem partners, to deliver a complete solution. Key elements of this solution include comprehensive FinFET profiling without impact to design tool runtime and proven, verified IP availability. The TSMC 16-nm FinFET solution will ensure mutual customers swiftly move to building the next generation SoCs. FinFET Overview As the name suggests, a FinFET is comprised of fins that form the source and drain portion of the transistor and provide the path (channel) for current to flow when switched on. The gate, which controls the switching operation, wraps around the fins to form a 3-D structure. Figure 1 shows simplified depictions of a planar FET a single fin FinFET and a multiple fin FinFET, respectively. In the planar FET, the single gate provides inadequate electrostatic control over the channel region, hence leading to large leakage currents between the source and the drain when the gate is off. In contrast, the channel of a FinFET transistor is formed in a thin vertical fin that is wrapped and controlled by the gate from three sides. FinFET devices are sometimes referred to as multi-gate transistors. In this device structure, the fin body often needs to be fully depleted even in the sub-threshold region. This results in much better electrostatic control of the channel and thus better electrical characteristics, such as faster turning on and off. In practice, the thin fin body is required in order for the wrapped gate to attain good control of the channel. Source Gate Gate Gate Drain Drain Oxide Source Source Oxide Silicon substrate Silicon substrate Silicon substrate Figure 1(a): Planar MOSFET Figure 1(b): FinFET Figure 1(c): Multiple fin FinFET The most important geometric parameters of a FinFET are its height (HFIN), its width or body thickness (Tfin), and its gate length (L) as shown in Figure 2. The effective electrical width (Weff) of a FinFET is the planar width/body thickness Tfin plus twice the fin height HFIN. Drain Gate length (L) Gate Gate Width (W eff ) Fin height (H fin ) Source Fin thickness (t fin ) Figure 2: FinFET Electrical Dimensions FinFET Technology 2

3 The length, height and thickness are closely controlled parameters set by the foundry, if the fin is too thick many of the benefits would be lost and the device would behave similar to the planar device with limited control of the gate. However having very thin fins are lithographically challenging to produce. TSMC is introducing an advanced FinFET solution with its new 16 nm process technology that provides several advantages over the preceding planar processes some of these benefits are listed below. ``Lower leakage and dynamic power: Very good electrostatic control of the channel is a key benefit of FinFETs. The channel can be choked off more easily. FinFETs boast a near-ideal sub-threshold behavior (associated to leakage), which is nearly impossible to achieve with planar technology (see Figure 3). Lower dynamic power is achieved with options to reduce the threshold voltage of the transistor, enabling lower power supplies and overall dynamic power consumption. ``Higher integration: The vertical channel orientation of FinFETs deliver more performance per linear width than planar FETs even after the isolation dead-area between the fins is taken into account Drain current, I DS Reduce feature size Planar FET FinFET Gate voltage, V GS (V) Figure 3: Off State Leakage Current comparison In short, the FinFET transistors can operate faster for a given amount of power consumption or run equally fast as planar but with much less power. This enables IC design teams to balance throughput, performance and power to match the needs of various applications. FinFET Challenges While FinFETs offer many advantages, new challenges arise for foundries and EDA companies. Foundry From a foundry perspective, the main area to focus on for FinFET-based technologies is accurate modeling of the process and devices. The production-proven BSIM4 models used since the 90-nm node are no longer sufficient to model the 3D device. Furthermore, the new FinFET structures exacerbate the impact of parasitic effects on circuit performance. Some of these impacts were secondary for previous nodes but they are becoming much more prominent. The formation of a complete ecosystem including EDA tools, accurate simulation models and Intellectual Property (IP) qualification in a timely manner is of key importance to delivering a complete solution. FinFET Technology 3

4 FinFETs will be introduced by TSMC at the 16nm node. This node leverages the new manufacturing techniques introduced at the 20-nm node (such as double patterning lithography) but requires enhancements to the structures providing connectivity between the poly-silicon layers and the first metal routing layer (Metal 1) which provides designer flexibility (See Figure 4). A new compact model, BSIM-CMG, has been developed by UC Berkeley to account for the 3D effects and multi-gate nature of FinFET devices. Foundries need to work closely with model developers, EDA vendors and standardization committees to ensure numerical robustness of the model and to expand its coverage of physical effects. Besides the core FinFET device, the modeling of layout dependent effects of 3D structures requires additional flexibility that conventional SPICE sub-circuit language syntax is not sufficient to handle. TSMC collaborated with Synopsys to develop a new device modeling interface called TMI2, standing for TSMC Model Interface 2nd version, that delivers this flexibility. TMI2 also accounts for modeling of device degradation over time (aging) and the statistical modeling of process variation. TSMC has adopted TMI2 for 16nm model release. While the structures are small, the impact of the parasitic resistances and capacitances are very important. Hence, this requires accurate modeling over 3-D geometries, as well as electric biases. Among these parasitics, the source/drain contact, series resistances and the gate-to-source/drain capacitances are important to model and extract accurately. Contact (Cu) Gate Source "# Fin Drain Substrate Figure 4: FinFET Parasitic Capacitances The FinFET device structures are more significantly different than planar devices, as the geometric structures have very small dimensions and are often complex. Figure 5 shows the ideal fin structure and some of major parasitic capacitances that require careful consideration. Due to the impact of lithography steps, the actual manufactured structure deviates from the ideal, which makes it much more challenging to model this device accurately. One of the key issues is incorporating the new key parasitics in the technology files without burdening the EDA tools with requirements that could have a detrimental impact on runtime. FinFET Technology 4

5 3D poly-to-diffusion capacitance Direction-dependent diffusion-to-diffusion capacitance Significant poly-end capacitance Figure 5: Ideal Fin Structure Traditional 2.5D RC extraction tools use a simple abstract view to model device structures, which may not fully capture the electrical behavior of actual devices. They will further reduce the desired accuracy for FinFET devices. To reduce potential device modeling gaps inherited in 2.5D RC extraction tools, TSMC has closely collaborated with its EDA partners including Synopsys to develop a new approach to closely model the actual device structure. Therefore, the new solution improves the overall RC extraction accuracy for timing analysis and circuit simulation without impacting EDA tool runtime or capacity. EDA EDA s main role as part of the semiconductor ecosystem is to ensure the success of all 3 phases below: ``Process development/early exploration (in collaboration with foundry, TCAD, SPICE) ``Library/IP development (along with SPICE and characterization tools teams) ``Design and analysis/simulation tools Early process development delivers a good understanding of variations and limitations in the manufacturing process, the expected structures that will be manufactured and their physical and electrical effects. For FinFET technologies, the final transistor structures are not standard shapes and require a more sophisticated modeling of the actual transistor structure. In addition, layout-/design-dependent effects impact the transistor performance requiring new considerations and parameters for modeling circuit functionality. During the library and IP development phase, the goal is to build structures that correlate to modeled characteristics. The resulting foundation libraries and IP and early design kits enable early adopters to get a head start on learning and using the new technology. FinFET Technology 5

6 Cell libraries, memories, analog IP and custom circuits all require a complete re-design for designs migrating to FinFET-based process nodes. The performance and options available to circuit designers are different than what they may be used to with planar MOSFETs. Historically, planar transistors performance varies widely changing the length or width of transistors with a wide range of options. While transistors with very short channel length (faster) can be built, the options available are less granular, for example getting more drive current would require paralleling up more fins. While this enables potentially larger widths per equivalent linear length than planar, the resulting width will be quantized by the fin gate widths. Differences in transistor thresholds and limitations in the way passive components can be constructed require new considerations. Providing accurate FinFET parasitic extraction and a generation of good, yet compact SPICE models is one of the major challenges with FinFETs over planar devices. Enhancements to the foundational EDA tools, in particular SPICE simulation, extraction and physical verification that operate on part of the design below the first metal layer are required. Addressing these new challenges alongside the new, more complex design-for-manufacturing rules, including double patterning, together with larger designs, requires close collaboration with the foundry and early adopters to deliver a robust proven solution. FinFET Design Enablement Leading-edge semiconductor companies are gaining access to new technology early and are getting their customers involved at the early design stage in order to harness the full benefits without compromise to flow or schedule while striving to achieve first-time silicon success. To ensure the transition to FinFET processes is fast, transparent and as smooth as possible for the design community, the foundry, EDA and IP industries need to work closely behind the scenes to ensure that the tools meet foundry s FinFET requirements and model the complexities involved. TSMC s Open Innovation Platform (OIP) serves as the key to the success of FinFET design enablement, as it provides a platform to bridge the gaps between TSMC s FinFET technology requirements and OIP ecosystem s existing capabilities, identifies necessary enhancements, provides partners with EDA and IP enablement kits to effectively implement needed changes in a timely manner for customer needs. Figure 6 depicts the collaboration model among TSMC, EDA and IP partners and customers within the OIP framework. Throughout the synergy of combined innovations within the entire OIP ecosystem, it delivers comprehensive solutions that customers can design into TSMC FinFET technology early with smoother, faster and successful production. Seed IP For early technology adopters TSMC IP enablement kits EDA enablement kits IP partners EDA partners For early technology adopters Customers Figure 6: TSMC OIP Enablement Collaboration Model FinFET Technology 6

7 The collaboration of TSMC s FinFET enablement through OIP can be described from the following aspects: Earlier: To address the trend of increasing complexities and challenges in advanced process technologies, TSMC is involving its OIP ecosystem partners and customers in FinFET enablement as early as from V0.05 of the process development stage, much earlier compared to the previous pull-in engagement stages of 40 nm from V0.5, 28 nm from V0.1, and 20 nm from pre-v0.1. Following TSMC s OIP enablement collaboration model, the availability of process related specifications, together with other components of the enablement kit, are to ensure the readiness of EDA tools and IPs to fit customers early stage design needs. This is a joint effort throughout the process technology development until it reaches V1.0 maturity for production. During this early and concurrent engagement, all involved customers, EDA and IP partners will benefit by early availability of their products that also meet process requirements. In areas such as extraction, SPICE and rules development, TSMC starts early with EDA vendors such as Synopsys. Broader: In order to fulfill customer needs at all design stages, and on various design types, the coverage is now in a much wider range of digital, AMS and RF design flows, process design kits (PDKs), as well as processor cores, physical and soft IPs. The EDA tools certification includes not only place and route (P&R), physical verification and extraction of design rule checks (DRC), Layout Versus Schematic (LVS) and RC Extraction (RCX), but also includes custom design and design analysis tools for statistical timing analysis (STA), and power analysis tools of electro migration (EM) and IR drop. Deeper: Quality assurance and productivity enhancement are an integral part of TSMC s commitment to customer support. The verification by more stringent quality requirements, validation procedures and intensive test suites are used to authenticate the quality of results from the enablement. The outcomes of the certified tools provide customers with clear guidance for their design implementation, as well as higher confidence in the success of their designs. In additional to the certification of individual EDA tool, TSMC also add a new layer of certification, called integrated tool certification, using real world multi-core CPU design cases with pre-defined power, performance and area targets. Its focus is on implementing all certified tools throughout all design phases that customers would apply in their SoC designs. The completion of individual and integrated tool certifications, will give customers much higher confidence in achieving first silicon success using TSMC s 16nm FinFET technology. The 16 nm FinFET Process Solution TSMC has developed an optimal solution for FinFET process-based designs through a close collaboration with leading EDA partners in its OIP ecosystem, such as Synopsys. The advanced TCAD and parasitic extraction tools with their highly accurate field solvers are implemented to fully understand the behavior of the FinFET device structures from a physical and electrical perspective. The tight collaboration between technology teams and TSMC has enabled accurate modeling of complex FinFET devices and interconnect effects across the 16 nm process flow including front-end-of-line (FEOL), middle-end-of-line (MEOL) and back-end-of-line (BEOL), as described below. The comprehensive modeling provides a robust solution for next-generation IC design using TSMC s 16 nm process. FEOL: It refers to process steps related to device transistor formation. The technology features modeled here are inside the devices, hence layout or design invariant, i.e., the process parameters do not change based on the impact of the design layout. TSMC provides enhanced SPICE models for FinFET FEOL device features for simulation tools as explained in detail below. MEOL: It refers to intermediate process steps that complete the transistor formation before contacts and interconnect formation (BEOL). This is an area of increasing complexity in advanced process technologies, especially FinFET processes consisting of new 3D structures and parasitic effects that are more sensitive to the layout. TSMC provides detailed 3D models of the new context-dependent MEOL parasitic effects using field solver and RC extraction technologies. FinFET Technology 7

8 BEOL: It refers to processing steps that involve contacts and interconnect formation. The modeling is independent of the FinFET device process effects and incorporates interconnect parasitic effects only. Thus, for 16 nm FinFET-based designs, there is minimal impact of the BEOL process to modeling and existing design flows and tools. The resultant implementation solution will be transparent to the type of FET used with enhancements to the Logic versus Schematic (LVS) tools providing the connectivity back to the fin structure within the FinFET. Enhanced SPICE Modeling The compact models of semiconductor devices are the bridge between design and manufacturing in the integrated circuit industry. They serve as the contract on transistor behavior between foundries and their many customers. Custom IC design at the transistor-level directly uses compact models as representation of the transistor behavior. Digital implementation, verification and signoff depend on timing, noise and power models that are derived from these compact models through library characterization. As such, compact models play a key role in the IC technology. The new compact model, BSIM-CMG, developed by UC Berkeley accounts for the 3D effects and multi-gate nature of FinFET devices. This model is used by SPICE and Fast SPICE simulation tools such as Synopsys HSPICE, CustomSim and FineSim. Several BSIM-CMG revisions have emerged in the past two years to account for missing physical effects and to tune the formulation and parameters for numerical robustness and model extraction feasibility, based on foundry, simulator vendor and IDM user feedbacks Fast and Accurate FinFET models: UC Berkeley releases BCM-CMG in Verilog-A format. Verilog-A provides ease of use and flexibility in tuning the model formulation and parameters. However, simulating circuits with millions of transistors in Verilog-A format leads to impractically long runtime and occupies large memory footprints. Synopsys worked with TSMC on developing a C-language implementation of BSIM-CMG that is orders of magnitudes faster than the Verilog-A version. The optimized model run at comparable speeds and occupies comparable memory footprint as the BSIM4 model used for planar MOS devices. The Synopsys implementation has additional features on top of the BSIM-CMG standard such as an analytical method to accurately estimate threshold voltage. Layout and Process Awareness: SPICE modeling incorporates the design invariant parasitic capacitances and resistances of the technology accounting for the FEOL part of the SoC design process. Standard models successfully served this purpose at older process nodes. For finer geometries and 3D shapes, these models only provide baseline behavior lacking modeling for such complex and yet critical effects as layout-dependent mechanical stress and proximity effects, parametric variability, restricted design rules, device aging and reliability. SPICE sub-circuits used in the past to complement standard models are not flexible enough to handle the layout dependent effects of 3D structures. TSMC and Synopsys jointly developed a new modeling interface called TMI2 (TSMC Model Interface, version 2) that is capable of encapsulating layout dependent effects, statistical modeling as well as MOS aging modeling into one single infrastructure. TMI2 provides the flexibility to add new features on top of the model such as safe operating area (SOA) checks. Early Access to Libraries and IP: TSMC and Synopsys collaborated to align the TSMC model development and release with simulator development schedule. This created a smooth process for TMI2- based FinFET model development, qualification and release. Synopsys also collaborated with TSMC PDK, library and IP teams for relevant enablement, ensuring solid delivery of simulators, IP and design methodologies to TSMC customers. FinFET Technology 8

9 Enhanced Techfile Generation and Extraction Extraction is a foundational part of the SoC design process used during technology and library development and as a critical part of design implementation and timing analysis. This is the part of the design flow most impacted by FinFETs being used for: ``Process exploration during optimization of the technology and libraries ``Cell and macro characterization using full SPICE simulation ``Large transistor-level extraction using full SPICE or Fast SPICE ``Block or chip-level timing analysis and functional simulation The two key components of the FinFET extraction solution are extraction techfile generation and accurate RC extraction: Techfile Generation: TSMC and Synopsys have collaborated to develop a techfile generation API (Application Protocol Interface), using Synopsys QuickCap field solver technology to handle the TSMC 3D FinFET structure. QuickCap s advanced features enable modeling of complex 3D structures and MEOL parasitics using a uniquely detailed representation of the FinFET silicon profile. The validated techfile generation API enables experienced technology teams to engage in early process exploration for high-accuracy FinFET StarRC techfile development. Accurate RC Extraction: For increased accuracy of signoff extraction and analysis in FinFET processes, TSMC is providing StarRC technology files with data derived from the QuickCap field solver solution based on real 3D profiles as inputs to Synopsys s full-chip extraction StarRC tool. As described above, the field solver 3D data provide a more accurate representation of the FinFET device and parasitic effects for more accurate circuit simulation and signoff analysis. In addition, the technology files provide design teams with an accelerated path to new process library characterization and development. Figure 7 shows the TSMC StarRC flow for extracting 16 nm FinFET-based designs using integrated 3D models in StarRC technology files. TSMC 3D characterization GDSII Technology file 3D FinFET models Layer mapping file StarRC extraction Capacitance report Parasitic netlist Figure 7: TSMC 16 nm StarRC Extraction flow FinFET Technology 9

10 Conclusion The new TSMC 16 nm technology being introduced to the market in 2013 offers new and exciting opportunities to the semiconductor industry for continued innovation. The new FinFETs satisfy the requirements of mobile and high-performance computing applications, delivering a larger reduction in power consumption, higher switching performance and higher levels of integration than the previous generation planar transistor-based technologies. The close collaboration between Synopsys and TSMC through the early stages of technology development has created a good understanding of the challenges associated with FinFETs and together has developed innovative solutions that address these challenges. The result of this collaboration as part of TSMC s Open Innovation Platform will ensure the delivery of solid, proven EDA tools, IPs and design flow methodologies that provide designers with a transparent lowrisk FinFET solution for product development in TSMC s 16-nm technology. The new TSMC 16-nm design reference flow based on certified EDA tools when introduced later in 2013 will ensure a smooth adoption of new FinFET designs and a fast ramp to volume production. For more information on the TSMC 16-nm technology and the Synopsys EDA tool support please contact the respective sales representatives. Synopsys, Inc. 700 East Middlefield Road Mountain View, CA Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at All other names mentioned herein are trademarks or registered trademarks of their respective owners. 04/13.CE.CS2583.

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

StarRC Parasitic Extraction

StarRC Parasitic Extraction Datasheet StarRC Parasitic Extraction Overview StarRC is the EDA industry s gold standard for parasitic extraction. A key component of Synopsys Galaxy Design Platform, it provides a siliconaccurate and

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Choosing the Right Photonic Design Software

Choosing the Right Photonic Design Software White Paper Choosing the Right Photonic Design Software September 2016 Authors Chenglin Xu RSoft Product Manager, Synopsys Dan Herrmann CAE Manager, Synopsys Introduction There are many factors to consider

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Design, Test & Repair Methodology for FinFET-Based Memories

Design, Test & Repair Methodology for FinFET-Based Memories White Paper Design, Test & Repair Methodology for FinFET-Based Memories October 2015 Author Dr. Yervant Zorian Chief Architect and Fellow, Synopsys Like any IP block, memories need to be tested. But unlike

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

A comprehensive workflow and methodology for parasitic extraction

A comprehensive workflow and methodology for parasitic extraction A comprehensive workflow and methodology for parasitic extraction Radoslav Prahov, Achim Graupner Abstract: In this paper is presented, analysed and assessed a design automation methodology of a tool employed

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology TSMC Open Innovation Platform 2011 Applications like motor control, power management and conversion,

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Compact Model Council

Compact Model Council Compact Model Council Keith Green (TI) Chair Peter Lee (Elpida) Vice Chair 1 History and Purpose The CMC was formed in 1996 as a collaboration of foundries, fabless companies, IDMs and EDA vendors Foundry

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Accelerating 20nm Double Patterning Verification with IC Validator

Accelerating 20nm Double Patterning Verification with IC Validator White Paper Accelerating 20nm Double Patterning Verification with IC Validator Author Paul Friedberg Corporate Applications Engineering Stelios Diamantidis Product Marketing Abstract The emergence of Double

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016 GF14LPP-XL AMS Reference Flow for FINFET Technology Rajashekhar Chimmalagi Design Methodology April 5 th 2016 Agenda 1 FinFET & FinFET Challenges 2 GF Reference Flows 3 Ref Flow Design 4 Ref Flow Modules

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Power management ICs for green energy applications

Power management ICs for green energy applications Power management ICs for green energy applications Close Executive Overview The power management IC (PMIC) has become a critical component in virtually every electronics product today. Much of this demand

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Service Delivery Platform

Service Delivery Platform Solution Brief Service Delivery Platform Enabling the transition to high-value and more differentiated network services with new consumption models for deploying VNFs. Keeping Up With Cloud Expectations

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

5.2 Technology Leadership

5.2 Technology Leadership 5.1.4 Production in 2009 and 2008 Unit: Capacity / Output (8-inch equivalent wafers) / Amount (NT$ thousands) Wafers Year Capacity Output Amount 2009 9,954,558 7,582,664 150,572,709 2008 9,376,612 8,350,692

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models Technology industry Reporting Insights Advisory Services Whitepaper by TIRIAS Research June 20, 2017 There has never been a better time to build your own custom application specific integrated circuit

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Symantec Data Center Transformation

Symantec Data Center Transformation Symantec Data Center Transformation A holistic framework for IT evolution As enterprises become increasingly dependent on information technology, the complexity, cost, and performance of IT environments

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

Accelerate Your Enterprise Private Cloud Initiative

Accelerate Your Enterprise Private Cloud Initiative Cisco Cloud Comprehensive, enterprise cloud enablement services help you realize a secure, agile, and highly automated infrastructure-as-a-service (IaaS) environment for cost-effective, rapid IT service

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information