Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Size: px
Start display at page:

Download "Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface"

Transcription

1 Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

2 Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System and System Level Verification Simulation verification methodology for MIPI CSI-2 SM spec compliancy Acceleration Methodology Overview Concepts for building MIPI CSI-2 SM acceleration-ready environment MIPI CSI-2 SM IP Level: From simulation to acceleration 2018 MIPI Alliance, Inc. 2

3 MIPI CSI-2 adoption Widely adopted serial high-speed protocols Implemented in complex systems, for a variety of applications in different markets: Mobile Video Games Automotive Multimedia Virtual reality, augmented reality and others 2018 MIPI Alliance, Inc. 3

4 MIPI Interfaces usage example in Complex SOCs Arm CPU Subsystem Customer s Application Specific Components Software A15 A15 L2 cache A7 A7 L2 cache 3D Graphics Core DSP A/V Application Accelerators AES Cache Coherent Fabric SoC Interconnect Further complicated by hardware/ software interactions LPDDR3 PHY 3.0 PHY USB PHY PCIe Gen 2,3 PHY High speed, wired interface peripherals Ethernet PHY SATA SAS SD MIPI UFS Other Memory Storage & Memory MIPI CSI-2 SM MIPI DigRF MIPI D-PHY MIPI DSI SM MIPI M-PHY MIPI SoundWire I2C Low-speed MIPI LLI peripheral JTAG MIPI subsystem UniPro MIPI high speed peripheral interfaces, and other MIPI Alliance, Inc. 4

5 MIPI CSI-2 SM Verification Challenges Reach system verification coverage goals prior to code freeze MIPI CSI-2 SM spec compliancy based on design and system configuration Time to market: Requires parallel development of hardware and software design, early in development cycle Validating software and hardware integration Create and validate real world scenarios in a pre-silicon environment 2018 MIPI Alliance, Inc. 5

6 Design Verification Flow IP IP IP Sub Sys Sub Sys SOC IP Verification Subsystem System Simulation Acceleration Accelerated Basic MIPI CSI-2 SM Testsuite Full Verification Testsuite 2018 MIPI Alliance, Inc. 6

7 IP-Level Verification IP vplan IP IP IP Verification s Basic Testsuite Full Testsuite Compliance s checkers Debug DUT issues s Coverage Model Coverage closure Create Tests Integrate (s) 2018 MIPI Alliance, Inc. 7

8 Sub-System/SoC Level Verification Sub Sys SOC Sub Sys Subsystem System s s As As Testsuite Create Tests Protocol and system debug tools Debug DUT Issues Coverage Emulation Coverage Coverage Integrate (s) or A(s) 2018 MIPI Alliance, Inc. 8

9 Verification methodology for Spec compliancy Spec verification is based on two aspects: 1. MIPI CSI-2 SM spec compliance Protocol checking based on MIPI CSI-2 SM spec Coverage aligned to the design configuration Complete Testsuite to cover MIPI CSI-2 SM DUT 2. System behavioral correctness Integrity checking based on system definition UVM Config Monitor checking coverage CSI-2 SM Agent Sequencer (sequence driver) Driver (BFM) DUT 2018 MIPI Alliance, Inc. 9

10 Verification methodology for Spec compliancy User needs full visibility into and controllability over on Configuration, traffic injection, protocol checking and functional coverage Testbench (User Layer) Integrity checking (Scoreboard) Configuration Transaction Callback Compliance Testsuite Protocol checking 2018 MIPI Alliance, Inc. 10

11 Verification methodology for Spec compliancy 1. Test Suite Ready to use, spec driven tests Optimized combination of Directed and Constrained-random sequences Reaching 100% of the Verification Plan Filtered per DUT configuration Early & Fast verification 2. Functional Coverage Native verification language database Reachable and tested Filtered per user configuration Complete coverage of your configuration Full Verification 3. Verification Plan Protocol-meaningful verification objectives Linked to coverage database Filtered to match DUT specific configuration Able to integrate with simulation tools Easy to understand correlation of coverage results to protocol specification 2018 MIPI Alliance, Inc. 11

12 Verification methodology for Spec compliancy TestSuite vplan, Coverage and TestSuite based on DUT config Transaction Tests Physical Layer Tests Pipe Tests Configuration Tests Link Layer Tests User feeds the DUT s specific Configuration Monitor UI BFM configured To DUT Configuration File Specification Of My Architecture DUT specific info is created Native Coverage DUT 2018 MIPI Alliance, Inc. 12

13 How to create the MIPI CSI-2 SM Verification Plan? 2018 MIPI Alliance, Inc. 13

14 Development Flow and Tools Map IP IP IP IP Verification Simulation SubSys SubSys Subsystem Accelerated SOC System TEXT Gradient shapes Acceleration to A Migration 2018 MIPI Alliance, Inc. 14

15 Why Acceleration? Software Arm CPU Subsystem A15 A15 A7 A7 L2 cache L2 cache Cache Coherent Fabric 3D Graphics Core Customer s Application Specific Components DSP A/V Application Accelerators AES SoC Interconnect LPDDR3 PHY USB PHY 2.0 PHY PCIe Gen 2,3 PHY Ethernet PHY High speed, wired interface peripherals SATA SAS SD MIPI UFS Other Memory Storage & Memory MIPI CSI-2 SM MIPI DigRF MIPI D-PHY MIPI DSI SM MIPI M-PHY MIPI SoundWire I2C MIPI LLI Low-speed peripheral JTAG MIPI subsystem UniPro MIPI high speed peripheral interfaces, and other. Complex SoCs, comprised of tens of millions of logic gates, will impede software simulators, even when running on the fastest servers MIPI Alliance, Inc. 15

16 Overview of hardware assisted verification Emulator assisted verification Simulation Acceleration Virtual Emulation In-Circuit Emulation FPGA Prototyping 2018 MIPI Alliance, Inc. 16

17 Simulation Acceleration & Accelerated Transaction Based Acceleration Workstation Communication Channel Palladium Z1 Testbench Seq Drv Mon TBA TBA B F M Design Under Test Workstation Accelerated (A) Emulator Signal Transaction Based Based Acceleration Acceleration Bit-by-bit Reduces communication signal level exchange channel between overhead testbench from signal and based DUTto Performance transaction based bottleneck can be the communication Leverages fast hardware channel for testbench DUT time execution 2018 MIPI Alliance, Inc. 17

18 Virtual emulation & Virtual Device Workstation Communication Channel Palladium Z1 Virtual Device Model P R O X Y TBA TBA B F M Design Under Test Workstation Virtual Device Emulator Signal Virtual Based emulation Acceleration Bit-by-bit Enables testing signal the level design exchange with realworld traffic testbench and DUT Performance Leverages fast bottleneck hardware can for DUT be the between communication execution channel or testbench time 2018 MIPI Alliance, Inc. 18

19 MIPI CSI-2 SM Virtual Device SOC Design Enables visualization of the HW/SW operation of the video/image processing subsystem in real time SW stack/drivers MIPI CSI-2 SM Virtual Device Image files GPU Image processor Frame buffer MIPI CSI-2 SM Host Controller MIPI CSI-2 SM A BFM SW Proxy MIPI CSI-2 SM Virtual Device Model Model Logic Emulator Workstation 2018 MIPI Alliance, Inc. 19

20 MIPI CSI-2 SM Emulation/Prototyping with real sensor SOC Design Enables connection of real sensors to emulated SOC designs for live video and closed loop testing SW stack/drivers GPU Image processor Frame buffer MIPI CSI-2 SM Host Controller MIPI CSI-2 SM Real Sensor Emulator MIPI CSI-2 SM Rate Adapter 2018 MIPI Alliance, Inc. 20

21 Concepts for building an acceleration-ready environment Use consistent API for s/as Use Dual-Top structure for the verification environment The Hardware top will include the DUT, Interfaces, clocks generation, etc. The software top will include the SW Verification Environment. Use event based delays instead of cycle/time based delays whenever possible. Pre define simulation and acceleration subset of shared sequences Simulator User API Shared A/ API Core Shared A/ Interface DUT Consistent SW API Consistent HW API Simulator User API Shared A/ API Accelerated Core Shared A/ Interface DUT Emulator 2018 MIPI Alliance, Inc. 21

22 MIPI CSI-2 SM IP Level: From simulation to acceleration Simulation stage Software Top (Simulator) User User Sequences User Sequences Sequences Hardware Top (Simulator) Pixel Custom UVC MIPI CSI-2 SM A/ Shared API APB A/ Shared API MIPI CSI-2 SM Active Agent Core MIPI CSI-2 SM A PPI IF MIPI CSI- 2 SM RX DIP APB IF APB A APB APB Active ActiveAgent Agent Core MIPI CSI-2 SM Passive Agent CSI2 Passive MIPI CSI-2 SM Clocks gen APB APB Passive APB Passive Agent 2018 MIPI Alliance, Inc. 22

23 MIPI CSI-2 SM IP Level: From simulation to acceleration Step #1 Disabling passive agents used at IP level stage Software Top (Simulator) User User Sequences User Sequences Sequences Hardware Top (Simulator) Pixel Custom UVC MIPI CSI-2 SM A/ Shared API APB A/ Shared API MIPI CSI-2 SM Active Agent Core MIPI CSI-2 SM A PPI IF MIPI CSI- 2 SM RX DIP APB IF APB A APB APB Active ActiveAgent Agent Core Clocks gen 2018 MIPI Alliance, Inc. 23

24 MIPI CSI-2 SM IP Level: From simulation to acceleration Step #2 Migrating to Accelerated s Software Top (Simulator) User User Sequences User Sequences Sequences Hardware Top (Simulator) Pixel Custom UVC CSI2 A/ Shared API Accelerated Accelerated APB A/ Shared API MIPI CSI-2 SM Accelerated Active Agent Core MIPI CSI-2 SM A PPI IF MIPI CSI- 2 SM RX DIP APB IF APB A APB Accelerated APB Active Active Agent Core Agent Clocks gen 2018 MIPI Alliance, Inc. 24

25 MIPI CSI-2 SM IP Level: From simulation to acceleration Step #3 Choosing the subset of sequences required for acceleration Software Top (Simulator) Identifying A/ Shared sequences User User Sequences User Sequences Sequences Hardware Top (Simulator) Pixel Custom UVC CSI2 A/ Shared API Accelerated Accelerated APB A/ Shared API MIPI CSI-2 SM Accelerated Active Agent Core MIPI CSI-2 SM A PPI IF MIPI CSI- 2 SM RX DIP APB IF APB A APB Accelerated APB Active Active Agent Core Agent Clocks gen 2018 MIPI Alliance, Inc. 25

26 MIPI CSI-2 SM IP Level: From simulation to acceleration Step #4 Migrating to acceleration flow Software Top (Simulator) User User Sequences User Sequences Sequences Hardware Top (Simulator) Pixel Custom UVC Design run time Accelerated! CSI2 A/ Shared API Accelerated Accelerated APB A/ Shared API MIPI CSI-2 SM Accelerated Active Agent Core MIPI CSI-2 SM A PPI IF MIPI CSI- 2 SM RX DIP APB IF APB A APB Accelerated APB Active Active Agent Core Agent Clocks gen 2018 MIPI Alliance, Inc. 26

27 Summary: Advantages of using acceleration Enables orders-of-magnitude gains in throughput over Simulation Enables re using selected parts of your simulation verification environment Enables advanced technologies with virtual emulation, like: Hybrid operation for optimal partition of the design between HW and SW to achieve maximum speedup Connection to Virtual Devices, Virtual machines, etc. Enables OS-level benchmarks and driver bring-up 2018 MIPI Alliance, Inc. 27

28 ADDITIONAL RESOURCES MIPI Camera WG URL: MIPI CSI-2 SM Spec URL: Cadence Verification IP URL: Cadence Accelerated URL: MIPI Alliance, Inc. 28

29

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

Combining TLM & RTL Techniques:

Combining TLM & RTL Techniques: Combining TLM & RTL Techniques: A Silver Bullet for Pre-Silicon HW/SW Integration Frank Schirrmeister EDPS Monterey April 17 th 2014 Hardware/Software Systems Software Bare Metal Applications Communications

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

An approach to accelerate UVM based verification environment

An approach to accelerate UVM based verification environment An approach to accelerate UVM based verification environment Sachish Dhar DWIVEDI/Ravi Prakash GUPTA Hardware Emulation and Verification Solutions ST Microelectronics Pvt Ltd Outline Challenges in SoC

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Building Low Power, Modular Systems with Silicon-Proven IP Solutions

Building Low Power, Modular Systems with Silicon-Proven IP Solutions Building Low Power, Modular Systems with Silicon-Proven IP Solutions Hezi Saar Synopsys 1 Legal Disclaimer The material contained herein is not a license, either expressly or impliedly, to any IPR owned

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

UVM-SystemC Standardization Status and Latest Developments

UVM-SystemC Standardization Status and Latest Developments 2/27/2017 UVM-SystemC Standardization Status and Latest Developments Trevor Wieman, SystemC CCI WG Chair Slides by Michael Meredith, Cadence Design Systems 2 Outline Why UVM-SystemC? UVM layered architecture

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

MIPI : Advanced Driver Assistance System

MIPI : Advanced Driver Assistance System MIPI : Advanced Driver Assistance System application and system development Richard Sproul Charles Qi - Gabriele Zarri (Cadence) esame Conference Sophia Antipolis 05 October 2015 ADAS : some history FORD

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

Design, Verification and Emulation of an Island-Based Network Flow Processor

Design, Verification and Emulation of an Island-Based Network Flow Processor Design, Verification and Emulation of an Island-Based Network Flow Processor Ron Swartzentruber CDN Live April 5, 2016 1 2016 NETRONOME SYSTEMS, INC. Problem Statements 1) Design a large-scale 200Gbps

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview MIPI Alliance Introduction & MIPI Camera Serial Interface Overview Haran Thanigasalam Vice Chair, MIPI Camera Working Group About MIPI Alliance 260 Members (as of 4 May 2015) 45+ specifications and supporting

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

MIPI Alliance Overview

MIPI Alliance Overview MIPI Alliance Overview Joel Huloux ST-Ericcson Chairman, MIPI Alliance June 16, 2010 1 MIPI Alliance Overview Open membership organization creates interface specifications aiding the development and interoperability

More information

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs Optimizing Hardware/Software Development for Arm-Based Embedded Designs David Zhang / Cadence Zheng Zhang / Arm Agenda Application challenges in ML/AI and 5G Engines for system development and verification

More information

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics ABSTRACT The challenges inherent in verifying today s complex designs

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Sean Boylan Duolog Technologies Outline Motivation - VSP Quality Programming Sequences Applying Sequences Tools for Sequences

More information

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India Verifying big.little using the Palladium XP Deepak Venkatesan Murtaza Johar ARM India 1 Agenda PART 1 big.little overview What is big.little? ARM Functional verification methodology System Validation System

More information

PCIe Verification in a SystemC environment using the Cadence VIP

PCIe Verification in a SystemC environment using the Cadence VIP PCIe Verification in a SystemC environment using the Cadence VIP 25 / 04 / 2014 Maarten de Vries Verification team leader 1 SUMMARY BULL : Asic development for HPC BULL s next chip will use PCIexpress

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics ABSTRACT Functional verification is critical in the development of today s complex digital

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Analyze system performance using IWB. Interconnect Workbench Dave Huang

Analyze system performance using IWB. Interconnect Workbench Dave Huang Analyze system performance using IWB Interconnect Workbench Dave Huang Perf_analysis@126.com 1 Information Personal peech of personal experience I am on behalf on myself Interconnects Are at the Heart

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a

MemCon 2014 October 15 th, Achieving End- to- E nd QoS Poonacha K ongetir a MemCon 2014 October 15 th, 2014 Achieving End- to- E nd QoS Poonacha K ongetir a (poonacha@netspeedsystems.com) Problem Statement NetSpeed Platform Overview AGE NDA QoS Primer Achieving End- to- end QoS

More information

Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal

Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal Chandrasekhar Poorna Principal Engineer Broadcom Corp San Jose, CA USA Varun Gupta Sr. Field Applications Engineer Cadence Design

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Dual Mode MIPI C-PHY SM /D-PHY SM Use in VR Display IC

Dual Mode MIPI C-PHY SM /D-PHY SM Use in VR Display IC Ahmed Ella Mixel Inc. Jeffrey Lukanc Dual Mode MIPI C-PHY SM /D-PHY SM Use in VR Display IC Outline GPU to Pixel VR Display System Mixel C-PHY SM /D-PHY SM IP in VXR7200 Summary 2018 MIPI Alliance, Inc.

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Take a step down the stack beyond optical networks, switches, routers and software-defined networking to consider the networking

More information

It's not about the core, it s about the system

It's not about the core, it s about the system It's not about the core, it s about the system Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Workshop 18 19 July 2018 Chennai, India Overview Architecture overview Example Scenarios

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

L&TTS IP Portfolio. Application Processor. (former GDA Technologies)

L&TTS IP Portfolio. Application Processor. (former GDA Technologies) USB PHY USB PHY USB PHY USB PHY L&TTS IP Portfolio (former GDA Technologies) L&T Technology Services offers ASIC/FPGA IPs and Total System Solutions for those IPs that includes Validation and Design Platforms,

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification oman ang 1 1 Sr. Design Verification ngineer, dvanced Micro Devices Inc. Shanghai, China bstract

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

The Evolution of Mobile

The Evolution of Mobile The Evolution of Mobile and its impact on storage architecture Jonathan Hubert Director, Strategic Marketing Micron Technology Mobile Memory Workshop 2011 Wireless Data Rates Doubling Every 18 Months 2

More information

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems Intelligent Interconnect for Autonomous Vehicle SoCs Sam Wong / Chi Peng, NetSpeed Systems Challenges Facing Autonomous Vehicles Exploding Performance Requirements Real-Time Processing of Sensors Ultra-High

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

Power Reduction and Low Risk Implementation of UFS v2.0 Design

Power Reduction and Low Risk Implementation of UFS v2.0 Design Power Reduction and Low Risk Implementation of UFS v2.0 Design Hezi Saar Staff Product Marketing Manager Mobile Forum 2014 Copyright 2014 Synopsys Agenda UFS system overview and challenges Power saving

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics

DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics This article describes how Mentor s verification IP (VIP) for various double-data rate (DDR) memory standards can act

More information

Effective Verification of ARM SoCs

Effective Verification of ARM SoCs Effective Verification of ARM SoCs Ron Larson, Macrocad Development Inc. Dave Von Bank, Posedge Software Inc. Jason Andrews, Axis Systems Inc. Overview System-on-chip (SoC) products are becoming more common,

More information

THE LEADER IN VISUAL COMPUTING

THE LEADER IN VISUAL COMPUTING MOBILE EMBEDDED THE LEADER IN VISUAL COMPUTING 2 TAKING OUR VISION TO REALITY HPC DESIGN and VISUALIZATION AUTO GAMING 3 BEST DEVELOPER EXPERIENCE Tools for Fast Development Debug and Performance Tuning

More information

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation The use of graph-based verification methods for block designs has been shown

More information

Overcoming Inter-Symbol Interference with MIPI PHYs using Training Sequences

Overcoming Inter-Symbol Interference with MIPI PHYs using Training Sequences Manoj Sharma Tanikella, Sr. R&D Engineer) Amitkumar Shrichand Gound, Sr. R&D Engineer) Overcoming Inter-Symbol Interference with MIPI PHYs using Training Sequences Agenda Training sequence requirements

More information

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development Enabling Safe, Secure, Smarter Cars from Silicon to Software Jeff Hutton Synopsys Automotive Business Development Safe Secure Smarter Systemic Complexity ADAS Autonomous V2X Infotainment Safe Secure Smarter

More information

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012

ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE. CDNLive! Silicon Valley 2012 ENABLING A NEW PARADIGM OF SYSTEM-LEVEL DEBUG PRODUCTIVITY WHILE MAINTAINING FULL IN-CIRCUIT EMULATION PERFORMANCE CDNLive! Silicon Valley 2012 Alex Starr March 13, 2012 INTRODUCTION About the author Alex

More information

Ncore Cache Coherent Interconnect

Ncore Cache Coherent Interconnect Ncore Cache Interconnect Technology Overview, 24 May 2016 Craig Forrest Chief Technology Officer David Kruckemyer Chief Hardware Architect Copyright 2016 Arteris 24 May 2016 Contents About Arteris Caches,

More information

Nine Effective Features of NVMe Questa Verification IP to Help You Verify PCIe Based SSD Storage by Saurabh Sharma, Mentor Graphics

Nine Effective Features of NVMe Questa Verification IP to Help You Verify PCIe Based SSD Storage by Saurabh Sharma, Mentor Graphics Nine Effective Features of NVMe Questa Verification IP to Help You Verify PCIe Based SSD Storage by Saurabh Sharma, Mentor Graphics INTRODUCTION Non-Volatile Memory Express (NVMe ) is a new software interface

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA INTRODUCTION Rapid progress in Semiconductor Technology Numerous circuits soldered ona printed circuit board

More information

Prasanna Krishnaswamy Intel Platform Architect. Imaging Systems Design for Mixed Reality Scenarios

Prasanna Krishnaswamy Intel Platform Architect. Imaging Systems Design for Mixed Reality Scenarios Prasanna Krishnaswamy Platform Architect Imaging Systems Design for Mixed Reality Scenarios Agenda Mixed Reality (MR) Introduction Key Use Cases MR System Design Overview Imaging Sensors for MR Use Case

More information

The Rubber Jigsaw Puzzle

The Rubber Jigsaw Puzzle The Rubber Jigsaw Puzzle Floorplanning for network-on-chip (NoC) Benjamin Hong ( 홍병철 ), Brian Huang ( 黃繼樟 ) presented by Jonah Probell Arteris, Inc. September 18, 2015 SNUG Austin SNUG 2015 1 Thanks to

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Creating FPGA-based Co-Processors for DSPs Using Model Based Design Techniques Lecture 4: FPGA Co-Processor Architectures and Verification V10_1_2_0

More information

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21 2. HW/SW Co-design Young W. Lim 2016-03-11 Thr Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 1 / 21 Outline 1 Software Engineering Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 2 / 21 Based on Software

More information

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Horace Chan Brian Vandegriend Deepali Joshi Corey Goss PMC-Sierra PMC-Sierra PMC-Sierra Cadence What is vertical reuse?

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information