DisplayPort Transmit Reference Design Author: Vamsi Krishna, Saambhavi Baskaran

Size: px
Start display at page:

Download "DisplayPort Transmit Reference Design Author: Vamsi Krishna, Saambhavi Baskaran"

Transcription

1 Application Note: Kintex-7 Family XAPP1178 (v1.0) September 13, 2013 DisplayPort Transmit Reference Design Author: Vamsi Krishna, Saambhavi Baskaran Summary This reference design demonstrates the implementation of a LogiCORE IP DisplayPort system that includes policy maker features and a DisplayPort controller. Audio and video pattern generators are used to generate the traffic for testing. The reference design is created and built using the Vivado Design Suite Instructions are included for building the hardware and testing the design on the board with the provided C source code. Complete Vivado Design Suite and Xilinx Software Development Kit (SDK) project files are provided with the reference design to allow you to examine and rebuild the design or to use it as a reference for starting a new design. Introduction This application note describes how to implement a DisplayPort transmit system and how to bring up the source core through the initialization steps such as training the main link, setting up the source core registers and monitoring and taking appropriate action on HPD assertion. It showcases a system transporting video and audio data from the Xilinx DisplayPort transmit core to a DisplayPort capable monitor. A DisplayPort source policy maker is implemented on the KC705 Evaluation Board, which includes the MicroBlaze processor, and DisplayPort core, as well as video and audio pattern generators. The block diagram of the reference design is shown in Figure 1. X-Ref Target - Figure 1 Figure 1: Reference Design Block Diagram The source policy maker is implemented as an application running on the MicroBlaze processor. The reference design includes the following features: Designed with the VESA DisplayPort Specification v1.2 Dynamic, switchable lane rates: 1.62, 2.7 or 5.4 Gbps Variable lanes: 1, 2 or 4 lanes Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. XAPP1178 (v1.0) September 13,

2 Hardware Implementation A wide range of resolutions Enable or disable secondary channel audio during runtime Change the bits-per-color dynamically when the lane is up One/two/four pixel-wide video interface option AUX operations logging for debugging purpose Hardware Implementation Figure 2 shows the hardware architecture of the reference design. The design uses the Vivado Design Suite IP integrator tool, a block-based design, and assembly tool. The Vivado IP Integrator (IPI) is used to integrate many of the key blocks of the design into a subsystem. The Vivado IPI subsystem consists of the MicroBlaze processor, AXI interconnect IP, MIG 7-series IP and other AXI4-Lite peripherals. The IPI sub-system is integrated in the top module along with DisplayPort IP and custom design sources for video pattern generator, audio pattern generator and video clock generator. The MicroBlaze processor changes the DisplayPort core configuration over the AXI4-Lite interface based on the user application. X-Ref Target - Figure 2 Figure 2: Hardware Architecture Clocking The DisplayPort uses the following clock domains: The processor and the AXI domain operate at 50 MHz. Jitter Attenuated Clock source Si5326 on KC705 provides a 135 MHZ reference clock for the transceivers. A clock of frequency 135 MHz from the clocking wizard is given as input to Si5326 for jitter attenuation. The jitter attenuated clock is then routed as a reference clock to the transceivers in DisplayPort. Audio clock operates at MHz (512 * 44.1 KHz) sourced from the Programmable User clock source - Si570, programmed through AXI IIC interface. XAPP1178 (v1.0) September 13,

3 Pattern Generators Transmit video clock is generated using MMCM from the link clock. Its configuration is programmed using DRP ports for various video modes. Pattern Generators DisplayPort Transmit Core Customization Software Implementation The video pattern generator has an APB bus interface that is connected to the AXI APB Bridge for processor communication. The registers available in the video pattern generator are listed in Table 7. The video timing information is programmed by writing into the registers. Eight standard pixel patterns can be generated by the module. Vesa LLC pattern Vesa pattern three bars Vesa color squares Flat red Flat blue Flat green Flat yellow Color bars The audio pattern generator produces a 2 KHz sine wave at a sampling rate of 44.1 KHz with silence in between for a few seconds in two channels. The MicroBlaze processor interfaces with the DisplayPort core through the AXI4-Lite interface, which is brought out as an external interface of the IPI design and connected to the DisplayPort core in the top-level RTL source file. The S/PDIF controller generated with the DisplayPort is disabled and the audio streaming signals of the DisplayPort Source are connected to the audio pattern generator. The audio is disabled by default in the application and you are given the option in the User Console to enable/disable the audio transmission. The DisplayPort PHY is customized to use the bi-directional AUX channel interface signals: aux_tx_io_p and aux_tx_io_n. The four transceivers for the four high-speed lanes are mapped to the four GTX transceivers in the FMC HPC (MGT_BANK_118) on the KC705 board. The software application gives you the option to select fewer numbers of lanes (1 or 2 lanes) to be used. The reference design includes a software application running on a MicroBlaze processor to initialize and maintain the DisplayPort link. This application provides an interactive UART console through which you can test the system at different modes of operation. You are given the flexibility to debug the application by reading/writing to the DisplayPort AUX registers. Figure 3 shows the software flow of the standalone application. XAPP1178 (v1.0) September 13,

4 Software Implementation X-Ref Target - Figure 3 Initialization In the first stage, the IIC and Timer peripherals are initialized. The IIC interface is used to initialize the clock sources: programmable oscillator Si570 and jitter attenuator Si5326 on the KC705 board. The DisplayPort source core is set up and initialized in the following sequence. 1. Keep the physical layer (PHY) in reset 2. Disable transmitter 3. Set clock divider 4. Set DisplayPort clock speed 5. Bring the PHY out of reset 6. Wait for PHY to be ready 7. Enable transmitter 8. Enable the interrupt mask for HPD HPD Event Handling Figure 3: Standalone Application Flow The software identifies the HPD event by reading the interrupt status register and interrupt signal state register of the source core. The HPD status is polled every 100 ms by the processor. On detecting a hot-plug event, the software initiates link training. When a hot-unplug event is detected, the main link is disabled and the software continues to poll the registers for any change in HPD status. On occurrence of the HPD interrupt, the link status is checked and retraining is performed, if required. Figure 4 is a flow diagram for HPD event handling. XAPP1178 (v1.0) September 13,

5 Hardware and Software Requirements X-Ref Target - Figure 4 Figure 4: HDP Event Handling Upon plugging the sink device or on HPD interrupt, the source core starts to read the sink receiver capabilities field for the maximum supported lane bandwidth and lane count. The source core sets this as the default configuration for link training. The video timing format is determined by checking the capability of the sink device through an EDID (Extended Display Identification Data) read. If the EDID is corrupted, the software falls back to a default video timing format of 640x480 at 60 Hz. Link Training See the LogiCORE IP DisplayPort Product Guide (PG064) [Ref 3] for the detailed steps of the training procedures and VESA DisplayPort Standard specification document [Ref 4]. Link training consists of two distinct sequential tasks: clock recovery (training procedure 1 in [Ref 4]) followed by channel equalization (training procedure 2 in [Ref 4]). When both the tasks are complete, the training is turned off and scrambling is enabled. If the training fails, the procedure is repeated for a maximum of five times. When the link is trained successfully, the main link is enabled. Hardware and Software Requirements This reference design requires the following hardware: Kintex-7 KC705 board and power supply Tokyo Electron Device Limited FMCH-DP module (Used for initial testing. Contact Tokyo Electron Device Limited for FMC updates) Platform cable USB JTAG programmer DisplayPort cable XAPP1178 (v1.0) September 13,

6 Creating and Executing the DisplayPort Source Design Monitor USB cable with standard-a plug to host computer and mini-b plug to KC705 board for Serial Communication Xilinx Vivado Design Suite Xilinx Software Development Kit Creating and Executing the DisplayPort Source Design This section describes how to build the reference design and run the design on the KC705 board. Before beginning, unzip the reference design into a local folder (referred to as XAPP1178 in the rest of the steps). Start a New Vivado Project This section details the steps to start a new Vivado project. 1. Install the Vivado Design Suite Open the Vivado Design Suite. 3. Select Create New Project. 4. Click Next in the Create a New Vivado Project window. 5. Enter the project name dp_src_pm_v1_0 and select a directory for the project. The selected directory is referred to as <user_dir> in the rest of the steps. 6. Select RTL Project in the Project Type window. 7. Click Next in the Add Sources window to create an empty project. X-Ref Target - Figure 5 Figure 5: Add Sources to Create Empty Project 8. Click Next in the Add Existing IP window to create an empty project. 9. Click Next in the Add Constraints window. XAPP1178 (v1.0) September 13,

7 Creating and Executing the DisplayPort Source Design 10. Select Boards in the Specify column in the Default Part window and select Kintex-7 KC705 Evaluation Platform. X-Ref Target - Figure 6 Figure 6: Default Part Selection 11. View the Project Summary and select Finish. Adding the DisplayPort Core to Design 1. Select IP Catalog on the left side of the Flow Navigator to bring up the IP catalog. 2. From the IP catalog, select Standard Bus Interfaces > DisplayPort > DisplayPort (version 4.0). Right click and select Customize IP. In the DisplayPort IDE, set the following: Protocol Selection: DisplayPort 1.2 Version Link Configuration Max Link Rate: 5.4 Video Interface Configuration Max Bits per Color: 16 Enable Audio Option: Checked Y Only Enable: Checked The final configuration is shown in Figure 7. Select OK when the DisplayPort IP license is bought to generate the output products. XAPP1178 (v1.0) September 13,

8 Creating and Executing the DisplayPort Source Design X-Ref Target - Figure 7 Figure 7: Customize IP 3. Click Generate in the Generate Output Products window. Do no select the Generate Synthesized Design Checkpoint option. Creating a MicroBlaze Processor System in Vivado IP Integrator (IPI) 1. After the output products are generated for the DisplayPort core, select Create block design under IP Integrator in the Flow Navigator. Specify the design name as design_1 and click OK. 2. Add the IPs listed in Table 1 into the block design by right-clicking and selecting Add IP. The IPs can be customized by double-clicking on the instance. Some of the IPs in Table 1 are grouped into blocks (Processor Local Memory, AXI4-Lite peripherals, AXI4 Peripherals) for easier understanding. Table 1: Adding IP Cores Name of the IP (Instance Name) Configuration Clocking Wizard (clk_wiz_1) clk_out1 frequency: 200 Enable clk_out2 and set the frequency to 135 Enable clk_out3 and set the frequency to 50 MicroBlaze (microblaze_1) Enable Use Instruction and Data Caches Select Enable Barrel Shifter Change Enable Integer Multiplier: MUL32 Select Enable Additional Machine Status Register Instructions Select Enable Pattern Comparator Change Size of Instruction and Data Cache feature: 16kB Base Address: 0x High Address: 0xFFFFFFFF Select Enable Peripheral AXI Data Interface XAPP1178 (v1.0) September 13,

9 Creating and Executing the DisplayPort Source Design Table 1: Adding IP Cores (Cont d) Name of the IP (Instance Name) Processor Local Memory (local_memory) LMB BRAM Controller (lmb_bram_if_cntlr_1) Local Memory Bus (lmb_v10_1) Block Memory Generator (blk_mem_gen_1) LMB BRAM Controller (lmb_bram_if_cntlr_2) Local Memory Bus (lmb_v10_2) AXI4-Lite Peripherals (axi4_lite_peripherals) Default Default Change Memory Type: True Dual Port RAM Default Default AXI Interconnect (axi_interconnect_1) Change Number of Master Interfaces: 7 MicroBlaze Debug Module (mdm_1) Proc Sys Reset (proc_sys_reset_1) AXI Interrupt Controller (axi_intc_1) Select Enable JTAG Uart Default Default Concat (xlconcat_1) Change Number of Ports: 5 AXI Uartlite (axi_uartlite_1) AXI Timer 1 (axi_timer_1) Default Default AXI IIC (axi_iic_1) Change General Purpose Output Width: 2 AXI APB Bridge (axi_apb_bridge_1) Change Number of Slaves: 1 AXI4 Peripherals (axi4_mm_peripherals) Configuration AXI Interconnect (axi_interconnect_2) Change Number of Slave Interfaces: 2 Change Number of Master Interfaces: 1 MIG 7 Series (mig_1) In the customization IDE for MIG, review the Vivado Project Options and click Next. Select Verify Pin Changes and Update Design in the MIG Output Options tab and click Next. In the Load mig.prj and XDC File window, browse to the downloaded XAPP1178/DP_Tx_Xapp/mig_files/ and select the design_1_mig_1_0_mig_a.prj and dp_ipi_mig_1_0.xdc files and click Next. Click Validate in the window that appears next. Review the information in the following windows and generate the IP. As an alternative, see KC705 MIG Design Creation with Vivado (XTP196) to create a custom MIG instance [Ref 1]. 1. Connect the IPs listed in Table 1 as shown in Figure 8 through Figure 10. The IPs are grouped into hierarchies named local_memory, axi4_mm_peripherals and axi4_lite_peripherals and shown in Table 2, Table 3 and Table 4 respectively. The entire IPI design is shown in Figure 10. See Vivado Design Suite Tutorial (UG940) for a step-by-step tutorial for building a processor system in Vivado IP Integrator [Ref 2]. 2. Figure 8 shows the block connections in the local_memory group. Connect the IPs as shown in Table 2. XAPP1178 (v1.0) September 13,

10 Creating and Executing the DisplayPort Source Design Table 2: Local Memory Block Connections Instance name Point A Interface / Block Pin Name Instance name Point B lmb_v10_1 LMB_SI_0 lmb_bram_if_cntlr_1 SLMB lmb_v10_2 LMB_SI_0 lmb_bram_if_cntlr_2 SLMB Interface / Block Pin Name lmb_bram_if_cntlr_1 BRAM_PORT blk_mem_gen_1 BRAM_PORTA lmb_bram_if_cntlr_2 BRAM_PORT blk_mem_gen_1 BRAM_PORTB microblaze_1 DLMB lmb_v10_1 LMB_M microblaze_1 ILMB lmb_v10_2 LMB_M clk_wiz_1 clk_out3 lmb_v10_1 LMB_Clk lmb_v10_2 lmb_bram_if_cntlr_1 lmb_bram_if_cntlr_2 proc_sys_reset_1 bus_struct_reset lmb_v10_1 SYS_Rst lmb_v10_2 lmb_bram_if_cntlr_1 lmb_bram_if_cntlr_2 LMB_Rst a. After the connections are complete, select the blocks (lmb_v10_1,2, lmb_bram_if_cntlr_1,2 and blk_mem_gen_1) and create a hierarchy and name it local_memory. Note: Two or more IPs could be grouped into a hierarchy by selecting the IPs (ctrl + click) and right-clicking and selecting Create Hierarchy. X-Ref Target - Figure 8 Figure 8: Local Memory Connections in IP Integrator 3. Connect the blocks for the AXI4 peripherals as detailed in Table 3. XAPP1178 (v1.0) September 13,

11 Creating and Executing the DisplayPort Source Design Table 3: AXI4 Block Connections Instance name Point A Interface / Block Pin Name Instance name Point B microblaze_1 M_AXI_DC axi_interconnect_2 S00_AXI M_AXI_IC S01_AXI axi_interconnect_2 M00_AXI mig_7series_1 S_AXI External ports sys_clk_p sys_clk_p sys_clk_n sys_rst DDR3 Interface / Block Pin Name sys_clk_n sys_rst DDR3 proc_sys_reset_1 peripheral_aresetn mig_7series_1 aresetn clk_wiz_1 clk_out3 axi_interconnect_2 S00_ACLK, S01_ACLK mig_7series_1 ui_clk axi_interconnect_2 ACLK, M00_ACLK clk_wiz_1 clk_in1 ui_clk_sync_rst proc_sys_reset_1 ext_reset_in clk_wiz_1 reset proc_sys_reset_1 interconnect_aresetn axi_interconnect_2 ARESETN peripheral_aresetn S00,S01,M00_ARESETN a. Create a group named "axi4_mm_peripheral" by selecting the mig_7series_1 instance, right-clicking and selecting Create hierarchy. 4. Table 4 details the connections of AXI4-Lite peripherals. Alternatively, after the connections are done, select the IPs (Proc Sys Reset, AXI Timer, Concat, AXI APB Bridge, AXI Interrupt Controller, AXI IIC, MDM and AXI UART Lite) and create a hierarchy named axi4_lite_peripherals. Figure 9 shows the connections inside the hierarchy. Table 4: AXI4-Lite Connections Instance Name proc_sys_reset_1 Point A Interface / Block Pin Name interconnect_aresetn peripheral_aresetn Instance Name / External Port axi_interconnect_1 axi_intc_1 mdm_1 microblaze_1 axi_timer_1 axi_uartlite_1 axi_iic_1 axi_apb_bridge_1 Point B ARESETN Interface / Block Pin Name S00,M00,M01,M02,M03,M04, M05,M06_ARESETN s_axi_aresetn s_axi_aresetn s_axi_aresetn s_axi_aresetn s_axi_aresetn s_axi_aresetn s_axi_aresetn XAPP1178 (v1.0) September 13,

12 Creating and Executing the DisplayPort Source Design Table 4: AXI4-Lite Connections (Cont d) Instance Name clk_wiz_1 proc_sys_reset_1 clk_out3 mb_reset axi_interconnect_1 axi_intc_1 mdm_1 microblaze_1 axi_timer_1 axi_uartlite_1 axi_iic_1 proc_sys_reset_1 axi_apb_bridge_1 axi_intc_1 microblaze_1 All Clk pins s_axi_aclk, processor_clk s_axi_aclk Clk s_axi_aclk s_axi_aclk s_axi_aclk slowest_sync_clk s_axi_aclk processor_rst Reset clk_wiz_1 locked proc_sys_reset_1 dcm_locked mdm_1 Debug_SYS_Rst proc_sys_reset_1 mb_debug_sys_rst xlconcat axi_interconnect_1 In0 axi_uartlite_1 interrupt In1 external Port Dp_Int In2 axi_timer_1 interrupt In3 mdm_1 interrupt In4 axi_iic_1 iic2inctc_irpt dout axi_intc_1 intr M00_AXI axi_intc_1 s_axi M01_AXI axi_uartlite_1 s_axi M02_AXI axi_apb_bridge_1 s_axi M03_AXI axi_timer_1 s_axi M04_AXI mdm_1 s_axi M05_AXI external Port M05_AXI M06_AXI axi_iic_1 s_axi axi_intc_1 interrupt microblaze_1 INTERRUPT mdm_1 MBDEBUG_0 microblaze_1 DEBUG axi_apb_bridge_1 apb_m external Port apb_m axi_uartlite_1 uart external Port uart axi_iic_1 Point A Interface / Block Pin Name Instance Name / External Port Point B iic external Port iic2inctc_irpt gpo[1:0] external Port gpo[1:0] Interface / Block Pin Name XAPP1178 (v1.0) September 13,

13 Creating and Executing the DisplayPort Source Design X-Ref Target - Figure 9 Figure 9: AXI4-Lite Connections in IP Integrator The complete design is shown in Figure 10. X-Ref Target - Figure 10 Figure 10: All Block Connections in IP Integrator 5. Save the current block design. XAPP1178 (v1.0) September 13,

14 Creating and Executing the DisplayPort Source Design 6. In the address editor tab, change the base address and high address of the IPs as listed in Table 5. Table 5: Base and High Addresses Instance Names in /microblaze_1 Data 7. Save the changes in the block design. Importing Other HDL files to the Project 1. Import all the HDL files from the directories patgen, vid_clk_drp and wrappers available in XAPP1178/DP_Tx_Xapp/design_files/, by selecting Add Sources in the Flow Navigator window. Press Ctrl+Q to open the Flow Navigator window if it is not already visible. 2. The DisplayPort IP delivers some RTL files for customization. For this project, displayport_0.v, displayport_v4_0.v and displayport_v4_0_tx_phy.v need to be edited. You can replace these files with the files available in XAPP1178/DP_Tx_Xapp/design_files/displayport. 3. Once the DisplayPort source files are edited, right-click inside the Sources window and select Refresh Hierarchy. XDC Constraints Offset Address Range High Address lmb_bram_if_cntlr_1 0x K 0x0000FFFF axi_intc_1 0x K 0x4120FFFF axi_timer_1 0x41C K 0x41C0FFFF axi_uartlite_1 0x K 0x4060FFFF mdm_1 0x K 0x4140FFFF mig_1 0x G 0xBFFFFFFF axi_iic_1 0x K 0x4080FFFF M05_AXI 0x44A K 0x44A0FFFF axi_apb_bridge_1 0x K 0x4200FFFF Instruction lmb_bram_if_cntlr_2 0x K 0x0000FFFF mig_1 0x G 0xBFFFFFFF 1. From the Flow Navigator, select Add Sources and point to the constraints file at XAPP1178/DP_Tx_Xapp/ design_files /constraint/ dp_src_pm_v1_0.xdc. 2. Save the design. Generating the Bitstream 1. From the Flow Navigator window, select Generate Bitstream. 2. Once the bitstream generation is complete, the hardware platform is exported to SDK. a. In the sources window, identify the block design named design_1 and open it by double-clicking on it. b. Right-click on the block design (design_1) and select Export Hardware for SDK. Provide a directory location (for example, <user_sdk_workspace>) for the SDK workspace in the Export Hardware for SDK window and select Launch SDK. XAPP1178 (v1.0) September 13,

15 Creating and Executing the DisplayPort Source Design c. In SDK, create a new application project (File > New > Application project). Provide a project name, "dp_app", and click Next. d. Finish by selecting Empty Application in the following window to create a new project. e. Copy the source codes from the location XAPP1178/DP_Tx_Xapp/sdk_workspace/dp_app/src to <user_sdk_workspace>/dp_app/src and refresh the SDK application (File > Refresh). f. Modify the linker script (Xilinx Tools > Generate linker script) to place the code, data and heap sections in mig_1 and modify the heap and stack size to 3.54 KB each. g. After the linker script is generated, dp_app.elf is ready for download and can be located in the directory <user_sdk_workspace>/dp_app/debug/dp_app.elf. Hardware Setup: 1. Connect the Tokyo Electron Device Limited (TED) TB-FMCH-DP module to the HPC FMC connector on the KC705 board. 2. Connect a USB cable from the host PC to the USB UART port on the KC705 for serial communication. 3. Connect a DP cable from the TX port of the TED TB-FMCH-DP module to a monitor, as shown in Figure 11. X-Ref Target - Figure 11 Figure 11: Hardware Setup 4. Connect the power supply cable and turn on the KC705 board. 5. Start a HyperTerminal program on the host PC with the following settings: Baud rate: 9600 Data Bits: 8 Parity: None Stop Bits: 1 Flow Control: None XAPP1178 (v1.0) September 13,

16 Display User Console 6. Connect the JTAG cable to the board. 7. In a command shell, change directories to <user_dir>/dp_src_pm_v1_0/dp_src_pm_v1_0.runs/impl_1 or XAPP1178/DP_Tx_Xapp/ready_for_download, where the bit file can be found. 8. Start the Xilinx Microprocessor Debugger (XMD) by typing xmd in the command prompt. Download the bitstream to the board. %xmd %fpga -f dp_src_pm_v1_0.bit Exit 9. Download and execute the software on board. The ELF file can be found in <user_sdk_workspace>/dp_app/debug or XAPP1178/DP_Tx_Xapp/ready_for_download. %cd <user_sdk_workspace>/dp_app/debug %xmd %connect mb mdm %rst %stop %dow dp_app.elf %run 10. This starts the DisplayPort source policy maker software. Display User Console The hot-plug-detect and link training take place after the software is initialized/run. After the link is trained, the UART terminal input command processor is active. Press h to see the user console options. The functionality of each command in the console is described below. ; - Read the EDID from the DisplayPort Sink Device This function displays the DisplayPort Configuration Data (DPCD) and Extended Display Identification Data (EDID) read from the sink device through the AUX channel and displays relevant information. b - Enable Logging of AUX Transactions This command enables/disables the AUX log. When enabled, the policy maker software displays the AUX transaction on the console. c - Run Compliance Test Routines This command provides options for compliance testing, including link training at various rates and lane counts, pattern type, and bits per colors. The command displays a sub-menu with the options described in Table 6. Table 6: Console Commands Keystroke 1 Trains 1.62G 1 lane 2 Trains 1.62G 2 lanes 3 Trains 1.62G 4 lanes 4 Trains 2.7G 1 lane 5 Trains 2.7G 2 lanes 6 Trains 2.7G 4 lanes 7 Trains 5.4G 1 lane 8 Trains 5.4G 2 lanes Command XAPP1178 (v1.0) September 13,

17 Display User Console Table 6: Console Commands (Cont d) Keystroke 9 Trains 5.4G 4 lanes b m Sets bits per color: 0 - Sets 6 bits per color 1 - Sets 8 bits per color 2 - Sets 10 bits per color 3 - Sets 12 bits per color 4 - Sets 16 bits per color Command Sets video resolution. Displays a sub-menu with resolution options. Choose a resolution by pressing the corresponding 2 hexadecimal indices from the sub-menu. p V X Sets video pattern: 0 - Color Bars 1 - Vesa LLC pattern 2 - Vesa Pattern3 bars 3 - Vesa Color square 4 - Flat Red screen 5 - Flat Green screen 6 - Flat Blue screen 7 - Flat Yellow screen Reads DPCD Register Space Prints Frame CRC Values d - Display MSA for TX This command displays the main stream attributes such as resolution, and user pixel width. g- Run standard adaptive training sequence This command runs link training with the default configuration. h - Display Help Menu This command displays the help menu. s - Display DPCD Status and Training Configuration This command displays the training information and configuration data of the DisplayPort monitor connected to the source port. 1 - Adjust TX Voltage Swing This command prompts you to change the voltage swing setting of the PHY module. 2 - Adjust TX Pre-emphasis This command prompts you to change the required pre-emphasis setting of the PHY module. A - Read from SRC registers Enables you to read from the registers of DisplayPort source core. B-Write to SRC registers Enables you to write into the DisplayPort source core registers. C - Read from Video Pattern Generator Registers This command is used to read from the register space of video pattern generator. The register space of the video pattern generator is shown in Table 7. D - Write to Video Pattern Generator registers XAPP1178 (v1.0) September 13,

18 Display User Console This command is used to read from the register space of video pattern generator. The register space of the video pattern generator is shown in Table 7. Table 7: Pattern Generator Registers Address Read/Write Description 0x000 R/W Bit 0 = Enable video output. Bit 1 = SW reset of the pattern generator. 0x004 R/W Bit 0 = VSYNC polarity. 0x008 R/W Bit 0 = HSYNC polarity. 0x00C R/W Bit 0 = DE polarity. 0x010 R/W Bits 8:0 = VSYNC width. 0x014 R/W Bits 8:0 = Vertical back porch. 0x018 R/W Bits 8:0 = Vertical front porch. 0x01C R/W Bits 10:0 = Vertical resolution. 0x020 R/W Bits 8:0 = HSYNC width. 0x024 R/W Bits 8:0 = Horizontal back porch. 0x028 R/W Bits 8:0 = Horizontal front porch. 0x02C R/W Bits 10:0 = Horizontal resolution. 0x104 R/W Bits 7:0 = TX Video clock M value. Used for video clock synthesis. Video_clock = lnk_clk * M/D. 0x108 R/W Bits 7:0 = TX Video clock D value. Used for video clock synthesis. Video_clock = lnk_clk * M/D. 0x200 R Bits 11:0 = VSYNC counter current count. 0x204 R Bits 11:0 = HSYNC counter current count. 0x208 R Bits 11:0 = Data enable counter current count. S - Enable Audio With this command, you can reprogram the source audio registers. T - Disable Audio This command disables the audio output. R - Read AUX Register This command allows the user to read the sink's register space over the AUX channel. The input is the 16-bit address and is formatted as four hexadecimal characters. W - Write AUX Register This command allows you to write to the sink's register space over the AUX channel. The input is the 16-bit address and is formatted as four hexadecimal characters. x - Exit the application This function exits the application loop and returns to main. The processor remains in an infinite loop in main and does nothing more at this point. / - Clear the screen XAPP1178 (v1.0) September 13,

19 Directory Structure Directory Structure Troubleshooting This section shows the directory structure of the design files provided along with the application note. All files are in the DP_Tx_Xapp folder. design_files constraint: XDC file needed for the project. displayport: Customized wrapper files for DisplayPort IP. patgen: Verilog files needed for the project. vid_clk_drp: Verilog files needed for the project. wrappers: Verilog files needed for the project. dp_src_pm_v1_0: Vivado project directory. ready_for_download: Contains BIT and ELF files to be downloaded on the board. sdk_workspace: Contains the source files for the policy maker software. mig_files: Contains the PRJ and XDC files needed to configure the MIG-7 series IP. This section provides debugging steps for issues in the policy maker software. To know the current status of the link, read the DPCD status by typing s in the help menu. If the link is not trained, the log shows the individual status of the different training procedures. Values from the DisplayPort registers 0x0100-0x0107 and 0x0204-0x0207 are shown for advanced debugging purposes. References 1. KC705 MIG Design Creation with Vivado (XTP196) 2. Vivado Design Suite Tutorial: Embedded Processor Hardware Design (UG940) 3. LogiCORE IP DisplayPort Product Guide (PG064) 4. VESA DisplayPort Standard Specification Revision History The following table shows the revision history for this document. Date Version Description of Revisions 09/13/ Initial Xilinx release. Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications. You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent. Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx. Xilinx products are not designed or intended to be XAPP1178 (v1.0) September 13,

20 Notice of Disclaimer fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications: XAPP1178 (v1.0) September 13,

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator Vivado Design Suite Tutorial Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of

More information

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator Vivado Design Suite Tutorial Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of

More information

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator Vivado Design Suite Tutorial Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of

More information

Arty MicroBlaze Soft Processing System Implementation Tutorial

Arty MicroBlaze Soft Processing System Implementation Tutorial ARTY MICROBLAZE SOFT PROCESSING SYSTEM IMPLEMENTATION TUTORIAL 1 Arty MicroBlaze Soft Processing System Implementation Tutorial Daniel Wimberly, Sean Coss Abstract A Microblaze soft processing system was

More information

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator Vivado Design Suite User Guide Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use

More information

Vivado Design Suite Tutorial

Vivado Design Suite Tutorial Vivado Design Suite Tutorial Designing IP Subsystems Using IP Integrator Revision History Date Version Revision 11/19/2014 2014.4 Validated with this release. 10/01/2014 2014.3 Validated with this release.

More information

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh Application Note: Zynq-7000 AP SoC XAPP744 (v1.0.2) November 2, 2012 Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh Summary The Zynq -7000 All Programmable

More information

Quick Front-to-Back Overview Tutorial

Quick Front-to-Back Overview Tutorial Quick Front-to-Back Overview Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 14.5 If using a later software version, there

More information

Virtual Input/Output v3.0

Virtual Input/Output v3.0 Virtual Input/Output v3.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation UG817 (v 14.3) October 16, 2012 This tutorial document was last validated using the following software version: ISE Design

More information

AC701 Built-In Self Test Flash Application April 2015

AC701 Built-In Self Test Flash Application April 2015 AC701 Built-In Self Test Flash Application April 2015 XTP194 Revision History Date Version Description 04/30/14 11.0 Recompiled for 2015.1. Removed Ethernet as per CR861391. 11/24/14 10.0 Recompiled for

More information

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs XAPP1296 (v1.0) June 23, 2017 Application Note: UltraScale+ FPGAs MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs Author: Guruprasad Kempahonnaiah Summary This application note describes a key feature

More information

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

RTL Design and IP Generation Tutorial. PlanAhead Design Tool RTL Design and IP Generation Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.

More information

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes:

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes: LogiCORE IP CPRI v8.5 Introduction The LogiCORE IP Common Public Radio Interface (CPRI ) core is a high-performance, low-cost flexible solution for implementation of the CPRI interface. The core can be

More information

Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy

Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy Application Note: Zynq-7000 All Programmable SoC XAPP1185 (v1.0) November 18, 2013 Zynq-7000 Platform Software Development Using the ARM DS-5 Toolchain Author: Simon George and Prushothaman Palanichamy

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

VCU110 GT IBERT Design Creation

VCU110 GT IBERT Design Creation VCU110 GT IBERT Design Creation June 2016 XTP374 Revision History Date Version Description 06/08/16 4.0 Updated for 2016.2. 04/13/16 3.0 Updated for 2016.1. Updated for Production Kit. 02/03/16 2.1 Updated

More information

PetaLinux SDK User Guide. Eclipse Plugin Guide

PetaLinux SDK User Guide. Eclipse Plugin Guide PetaLinux SDK User Guide Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Zynq UltraScale+ MPSoC Verification IP v1.0

Zynq UltraScale+ MPSoC Verification IP v1.0 Zynq UltraScale+ MPSoC Verification IP v1.0 DS941 (v1.0) December 20, 2017 Summary The Zynq UltraScale+ MPSoC Verification Intellectual Property (VIP) supports the functional simulation of Zynq UltraScale+

More information

Zynq-7000 Bus Functional Model

Zynq-7000 Bus Functional Model DS897 May 24, 2013 Introduction The Zynq -7000 Bus Functional Model (BFM) supports the functional simulation of Zynq-7000 based applications. It is targeted to enable the functional verification of Programmable

More information

SP605 Built-In Self Test Flash Application

SP605 Built-In Self Test Flash Application SP605 Built-In Self Test Flash Application March 2011 Copyright 2011 Xilinx XTP062 Revision History Date Version Description 03/01/11 13.1 Up-rev 12.4 BIST Design to 13.1. 12/21/10 12.4 Up-rev 12.3 BIST

More information

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications This tutorial document was last validated using the following software version: ISE Design Suite 14.5

More information

Vivado Design Suite Tutorial. Designing with IP

Vivado Design Suite Tutorial. Designing with IP Vivado Design Suite Tutorial Designing with IP Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the

More information

SP605 Standalone Applications

SP605 Standalone Applications SP605 Standalone Applications July 2011 Copyright 2011 Xilinx XTP064 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 GPIO_HDR Design to 13.2. 03/01/11 13.1 Up-Rev 12.4 GPIO_HDR Design

More information

ML605 Built-In Self Test Flash Application

ML605 Built-In Self Test Flash Application ML605 Built-In Self Test Flash Application July 2011 Copyright 2011 Xilinx XTP056 Revision History Date Version Description 07/06/11 13.2 Up-rev 13.1 BIST Design to 13.2. 03/01/11 13.1 Up-rev 12.4 BIST

More information

Vivado Design Suite Tutorial. Using Constraints

Vivado Design Suite Tutorial. Using Constraints Vivado Design Suite Tutorial Using Constraints Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the

More information

Vivado Design Suite Tutorial. I/O and Clock Planning

Vivado Design Suite Tutorial. I/O and Clock Planning Vivado Design Suite Tutorial I/O and Clock Planning Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To

More information

Vivado Design Suite Tutorial. I/O and Clock Planning

Vivado Design Suite Tutorial. I/O and Clock Planning Vivado Design Suite Tutorial I/O and Clock Planning Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Using the Vivado IDE Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

ML605 Built-In Self Test Flash Application

ML605 Built-In Self Test Flash Application ML605 Built-In Self Test Flash Application October 2010 Copyright 2010 Xilinx XTP056 Revision History Date Version Description 10/05/10 12.3 Up-rev 12.2 BIST Design to 12.3. Added AR38127 Added AR38209

More information

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4)

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) AXI Interface Based KC705 j Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) Software Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided

More information

PetaLinux SDK User Guide. Firmware Upgrade Guide

PetaLinux SDK User Guide. Firmware Upgrade Guide PetaLinux SDK User Guide Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted

More information

LogiCORE IP DisplayPort v2.3

LogiCORE IP DisplayPort v2.3 LogiCORE IP DisplayPort v23 DS802 June 22, 2011 Introduction The Xilinx LogiCORE IP DisplayPort interconnect protocol is designed for transmission and reception of serial-digital video at two standard

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Vivado Design Suite Tutorial:

Vivado Design Suite Tutorial: Vivado Design Suite Tutorial: Programming and Debugging Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

PetaLinux SDK User Guide. Application Development Guide

PetaLinux SDK User Guide. Application Development Guide PetaLinux SDK User Guide Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018 DP-8020 Hardware User Guide Revision History The following table shows the revision history for this document. Section General updates Revision Summary 12/06/2018 Version 1.0 Initial Xilinx release. DP-8020

More information

KC705 PCIe Design Creation with Vivado August 2012

KC705 PCIe Design Creation with Vivado August 2012 KC705 PCIe Design Creation with Vivado August 2012 XTP197 Revision History Date Version Description 08/20/12 1.0 Initial version. Added AR50886. Copyright 2012 Xilinx, Inc. All Rights Reserved. XILINX,

More information

Adding Custom IP to an Embedded System Using AXI

Adding Custom IP to an Embedded System Using AXI Lab Workbook Adding Custom IP to an Embedded System Using AXI Adding Custom IP to an Embedded System Using AXI Introduction This lab guides you through the process of adding a custom peripheral to a processor

More information

KC705 Si570 Programming

KC705 Si570 Programming KC705 Si570 Programming March 2012 Copyright 2012 Xilinx XTP186 Revision History Date Version Description 03/02/12 13.4 Initial version. Copyright 2012 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation UG817 (v13.3) November 11, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

Advanced Software Writing Using AXI

Advanced Software Writing Using AXI Lab Workbook Introduction This lab guides you through the process of adding timer and interrupt controller to an embedded system and writing a software application that utilizes these timer and interrupt

More information

I/O Pin Planning Tutorial. PlanAhead Design Tool

I/O Pin Planning Tutorial. PlanAhead Design Tool I/O Pin Planning Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the

More information

Zero Latency Multiplexing I/O for ASIC Emulation

Zero Latency Multiplexing I/O for ASIC Emulation XAPP1217 (v1.0) March 17, 2015 Application Note: Xilinx FPGAs Zero Latency Multiplexing I/O for ASIC Emulation Author: Luis Bielich Summary This application note provides a method for FPGA emulation platforms

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation UG817 (v 13.2) July 28, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs

Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs White Paper: Virtex-7 and Kintex-7 Families WP383 (v1.1) August 14, 2012 Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs By: Adrian Cosoroaba FPGA-based systems frequently require

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012 Vivado Design Suite 7 Series FPGA Libraries Guide UG953 (v 2012.2) July 25, 2012 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and

More information

ZC702 Si570 Programming June 2012

ZC702 Si570 Programming June 2012 June 2012 XTP181 Revision History Date Version Description 05/25/12 1.0 Initial version for 14.1. Copyright 2012 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, the Brand Window and other designated

More information

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs UG768 (v14.7) October 2, 2013 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is

More information

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012 ISim In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

ML631 U1 DDR3 MIG Design Creation

ML631 U1 DDR3 MIG Design Creation ML631 U1 DDR3 MIG Design Creation October 2011 Copyright 2011 Xilinx XTP112 Revision History Date Version Description 10/26/11 13.3 Updated for 13.3. 08/30/11 13.2 Initial version. Copyright 2011 Xilinx,

More information

DisplayPort Source Core Reference Design Author: Arun Ananthapadmanaban and Vamsi Krishna

DisplayPort Source Core Reference Design Author: Arun Ananthapadmanaban and Vamsi Krishna Application Note: Spartan-6 and Virtex-6 FPGAs XAPP493 (v2.0) September 16, 2011 DisplayPort Source Core Reference Design Author: Arun Ananthapadmanaban and Vamsi Krishna Summary This application note

More information

KC705 Si5324 Design October 2012

KC705 Si5324 Design October 2012 KC705 Si5324 Design October 2012 XTP188 Revision History Date Version Description 10/23/12 4.0 Recompiled for 14.3. 07/25/12 3.0 Recompiled for 14.2. Added AR50886. 05/08/12 2.0 Recompiled for 14.1. 02/14/12

More information

KC705 GTX IBERT Design Creation October 2012

KC705 GTX IBERT Design Creation October 2012 KC705 GTX IBERT Design Creation October 2012 XTP103 Revision History Date Version Description 10/23/12 4.0 Regenerated for 14.3. 07/25/12 3.0 Regenerated for 14.2. Added AR50886. 05/30/12 2.1 Minor updates.

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

ML605 GTX IBERT Design Creation

ML605 GTX IBERT Design Creation ML605 GTX IBERT Design Creation December 2010 Copyright 2010 Xilinx XTP046 Revision History Date Version Description 12/21/10 12.4 Recompiled under 12.4. 10/05/10 12.3 Recompiled under 12.3. AR36576 fixed.

More information

I/O Planning Tutorial. PlanAhead Design Tool

I/O Planning Tutorial. PlanAhead Design Tool I/O Planning Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 15 If using a later software version, there may be minor differences

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide System-Level Design Entry Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

SP605 GTP IBERT Design Creation

SP605 GTP IBERT Design Creation SP605 GTP IBERT Design Creation October 2010 Copyright 2010 Xilinx XTP066 Revision History Date Version Description 10/05/10 12.3 Recompiled under 12.3. ARs Present in Spartan-6 IBERT Design: AR36775 Delay

More information

ML631 U2 DDR3 MIG Design Creation

ML631 U2 DDR3 MIG Design Creation ML631 U2 DDR3 MIG Design Creation March 2012 Copyright 2012 Xilinx XTP129 Revision History Date Version Description 03/16/12 13.4 Updated for 13.4 10/26/11 13.3 Updated for 13.3. 08/30/11 13.2 Initial

More information

ML605 PCIe x8 Gen1 Design Creation

ML605 PCIe x8 Gen1 Design Creation ML605 PCIe x8 Gen1 Design Creation October 2010 Copyright 2010 Xilinx XTP044 Revision History Date Version Description 10/05/10 12.3 Recompiled under 12.3. AR35422 fixed; included in ISE tools. 07/23/10

More information

LogiCORE IP I/O Module v1.01a

LogiCORE IP I/O Module v1.01a LogiCORE IP I/O Module v1.01a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Feature Summary.................................................................. 7 Licensing

More information

Dual Processor Reference Design Suite Author: Vasanth Asokan

Dual Processor Reference Design Suite Author: Vasanth Asokan Application Note: Embedded Processing XAPP996 (v1.3) October 6, 2008 Dual Processor eference Design Suite Author: Vasanth Asokan Summary This is the Xilinx Dual Processor eference Designs suite. The designs

More information

ML605 Restoring Flash Contents

ML605 Restoring Flash Contents ML605 Restoring Flash Contents March 2011 Copyright 2011 Xilinx XTP055 Revision History Date Version Description 03/01/11 13.1 Regenerated contents for 13.1. 12/21/10 12.4 Regenerated contents for 12.4.

More information

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008 Virtex-4 PowerPC Example Design R R 2007-2008 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks

More information

Vivado Design Suite Tutorial: Implementation

Vivado Design Suite Tutorial: Implementation Vivado Design Suite Tutorial: Implementation Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum

More information

KCU GBASE-KR Ethernet TRD User Guide

KCU GBASE-KR Ethernet TRD User Guide KCU1250 10GBASE-KR Ethernet TRD User Guide KUCon-TRD05 Vivado Design Suite Revision History The following table shows the revision history for this document. Date Version Revision 04/13/2015 2016.1 Replaced

More information

Virtex-7 FPGA VC7215 Characterization Kit IBERT

Virtex-7 FPGA VC7215 Characterization Kit IBERT Virtex-7 FPGA VC7215 Characterization Kit IBERT Getting Started Guide Vivado Design Suite 2013.3 DISCLAIMER The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

Vivado Design Suite Tutorial. Design Flows Overview

Vivado Design Suite Tutorial. Design Flows Overview Vivado Design Suite Tutorial Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

EXOSTIV Dashboard Hands-on - MICA board

EXOSTIV Dashboard Hands-on - MICA board EXOSTIV Dashboard Hands-on - MICA board Rev. 1.0.5 - October 18, 2017 http://www.exostivlabs.com 1 Table of Contents EXOSTIV Dashboard Hands-on...3 Introduction...3 EXOSTIV for Xilinx FPGA Overview...3

More information

ZC706 Built-In Self Test Flash Application April 2015

ZC706 Built-In Self Test Flash Application April 2015 ZC706 Built-In Self Test Flash Application April 2015 XTP242 Revision History Date Version Description 04/30/15 11.0 Recompiled for 2015.1. 11/24/14 10.0 Recompiled for 2014.4. 10/08/14 9.0 Recompiled

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design October 6 t h 2017. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Vivado Design Suite Tutorial:

Vivado Design Suite Tutorial: Vivado Design Suite Tutorial: Programming and Debugging Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

KC705 Ethernet Design Creation October 2012

KC705 Ethernet Design Creation October 2012 KC705 Ethernet Design Creation October 2012 XTP147 Revision History Date Version Description 10/23/12 4.0 Regenerated for 14.3. 07/25/12 3.0 Regenerated for 14.2. Added AR50886. 05/08/12 2.0 Regenerated

More information

ZC706 GTX IBERT Design Creation June 2013

ZC706 GTX IBERT Design Creation June 2013 ZC706 GTX IBERT Design Creation June 2013 XTP243 Revision History Date Version Description 06/19/13 4.0 Recompiled for Vivado 2013.2. 04/16/13 3.1 Added AR54225. 04/03/13 3.0 Recompiled for 14.5. 01/18/13

More information

ML623 IBERT Getting Started Guide (ISE 13.4) UG725 (v6.0) February 29, 2012

ML623 IBERT Getting Started Guide (ISE 13.4) UG725 (v6.0) February 29, 2012 ML623 IBERT Getting Started Guide (ISE 13.4) Copyright 2010 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks

More information

ML605 FMC Si570 Programming June 2012

ML605 FMC Si570 Programming June 2012 ML605 FMC Si570 Programming June 2012 XTP076 Revision History Date Version Description 06/15/12 1.0 Initial version for 13.4. Copyright 2012 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, the

More information

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett XAPP977 (v1.1) June 1, 2007 R Application Note: Embedded Processing Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett Abstract This

More information

VTR-2000 Evaluation and Product Development Platform. Instruction Sheet SOC Technologies Inc.

VTR-2000 Evaluation and Product Development Platform. Instruction Sheet SOC Technologies Inc. VTR-2000 Evaluation and Product Development Platform Instruction Sheet 2015 SOC Technologies Inc. SOC is disclosing this user manual (the "Documentation") to you solely for use in the development of designs

More information

Lab 1: Simple Hardware Design

Lab 1: Simple Hardware Design For Academic Use Only Lab 1: Simple Hardware Design Targeting MicroBlaze on Spartan -3E Starter Kit This material exempt per Department of Commerce license exception TSU Introduction Objectives Procedure

More information

Reference Design: LogiCORE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida

Reference Design: LogiCORE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida XAPP997 (v1.1) June 14, 2010 Application Note: Embedded Processing eference Design: LogiCOE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida Summary The application note demonstrates

More information

EDK Concepts, Tools, and Techniques

EDK Concepts, Tools, and Techniques EDK Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

Partial Reconfiguration of a Processor Tutorial. PlanAhead Design Tool

Partial Reconfiguration of a Processor Tutorial. PlanAhead Design Tool Partial Reconfiguration of a Processor Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx

More information

Vivado Design Suite Tutorial: Programming and Debugging

Vivado Design Suite Tutorial: Programming and Debugging Vivado Design Suite Tutorial: Programming and Debugging Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.

More information

Hardware Demonstration Design

Hardware Demonstration Design Hardware Demonstration Design JESD204 Hardware Demonstration User Guide 1 A hardware demonstration design, targeting the Kintex-7 KC705, Zynq-7000 ZC706, Virtex-7 VC709 or Artix-7 AC701 evaluation platforms,

More information

Lab 2: Adding IP to a Hardware Design Lab

Lab 2: Adding IP to a Hardware Design Lab For Academic Use Only Lab 2: Adding IP to a Hardware Design Lab Targeting MicroBlaze on the Spartan -3E Kit This material exempt per Department of Commerce license exception TSU Lab 2: Adding IP to a Hardware

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

EDK Concepts, Tools, and Techniques

EDK Concepts, Tools, and Techniques EDK Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection

More information

Creating a Processor System Lab

Creating a Processor System Lab Lab Workbook Introduction This lab introduces a design flow to generate a IP-XACT adapter from a design using Vivado HLS and using the generated IP-XACT adapter in a processor system using IP Integrator

More information

Microblaze for Linux Howto

Microblaze for Linux Howto Microblaze for Linux Howto This tutorial shows how to create a Microblaze system for Linux using Xilinx XPS on Windows. The design is targeting the Spartan-6 Pipistello LX45 development board using ISE

More information

Kintex-7 FPGA Base Targeted Reference Design

Kintex-7 FPGA Base Targeted Reference Design Kintex-7 FPGA Base Targeted Reference Design User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

AC701 Ethernet Design Creation October 2014

AC701 Ethernet Design Creation October 2014 AC701 Ethernet Design Creation October 2014 XTP223 Revision History Date Version Description 10/08/14 9.0 Regenerated for 2014.3. 06/09/14 8.0 Regenerated for 2014.2. 04/16/14 6.0 Regenerated for 2014.1.

More information

AC701 Ethernet Design Creation June 2014

AC701 Ethernet Design Creation June 2014 AC701 Ethernet Design Creation June 2014 XTP223 Revision History Date Version Description 06/09/14 8.0 Regenerated for 2014.2. 04/16/14 6.0 Regenerated for 2014.1. 12/18/13 5.0 Regenerated for 2013.4.

More information

ZC706 GTX IBERT Design Creation November 2014

ZC706 GTX IBERT Design Creation November 2014 ZC706 GTX IBERT Design Creation November 2014 XTP243 Revision History Date Version Description 11/24/14 10.0 Regenerated for 2014.4. 10/08/14 9.0 Regenerated for 2014.3. 06/09/14 8.0 Regenerated for 2014.2.

More information