CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

Size: px
Start display at page:

Download "CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS"

Transcription

1 Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler Directives, Gate Level Modeling, Hierarchical Structural Modeling, Dataflow Modeling, Continuous Assignments, Timing and Delays, Programming Language Interface. CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Using Vectored Signals, Using a Generic Specification, Nets and Variables, Arithmetic Assignment Statements, Representation of Numbers in Verilog Code, Gate Level and Hierarchical Modeling of 4-bit Binary and BCD Adders and 8-bit Comparators. Verification : Functional Verification, Simulation Types, Design of Stimulus Block. UNIT - II CHAPTER - 3 : BEHAVIOURAL MODELING Switch Level Modeling and Examples, Behavioral Modeling, Structured Procedures, Procedural Assignments, Timing Controls and Conditional Statements, Multi-way, Branching, Loops, Sequential and Parallel Blocks, Generate Blocks, Tasks and Functions. CHAPTER - 4 : BEHAVIOURAL/D VIOURAL/DATA A FLOW MODELING OF BASIC MSI COMBINATIO TIOAL LOGIC MODULES ALUs, Encoders, Decoders, Multiplexers, Demultiplexers, Parity Generator/Checker Circuits, Bus Structure, Reaction Timer, Static Timing Analysis, Logic Synthesis and Register Transfer Level (RTL) Code.

2 ii Contents UNIT - III CHAPTER - 5 : BEHAVIOURAL/D VIOURAL/DATAFL AFLOW MODELING OF SEQUENTIAL LOGIC MODULES Latches, Flip Flops, Counters and Shift Registers. CHAPTER - 6 : SYNCHRONOUS SEQUENTIAL CIRCUITS Analysis and Synthesis of Synchronous Sequential Circuits, Mealy and Moore FSM Models for Completely and Incompletely Specified Circuits, State Minimization, Partitioning Minimization Procedure, Sequence Detector, One Hot Encoding, Synthesizable Verilog HDL Models fpr Sequence Detector Using Moore and Mealy Models, Design of a Modulo-8 Counter Using the Sequential Circuit Approach and its Verilog Implementation, FSM as an Arbiter Circuit. UNIT - IV CHAPTER - 7 : ALGORITHMIC STATE MACHINES (ASMS) ASM Chart, ASM Block, Simplifications and Timing Considerations With Design Example, ASMD Chart for Binary Multiplier and Verilog HDL Code, One Hot State Controller. Asynchronous Sequential Logic : Analysis Procedure Transition Table, Flow Table, Race Conditions, Hazards With Design Example of Vending Machine Controller. UNIT - V CHAPTER - 8 : MEMORY DEVICES Types of Memories, RAM BJT Cell and 6-T MOS RAM Cell, Organization of a RAM, Expanding Word Size and Capacity. Introduction to ASIC s : Full Custom, Standard Cell and Gate Array Based ASICs, SPLDs, PROM, PAL, GAL, PLA, FPGA and CPLD Simplified Architecture and Applications, ASIC/FPGA Design Flow, CAD Tools, Combinational Circuit Design With Programmalbe Logic Devices (PLDs).

3 Contents iii Digital System Design With Verilog HDL FOR b.e. (o.u) Iii year i semester (ELECTRONICS AND COMMUNICATION ENGINEERING) CONTENTS UNIT - I [CH. H. - 1] ] [BASIC VERILOG HDL] OVERVIEW OF DIGITAL AL DESIGN WITH VERILOG HDL... 1 Evolution of Computer-Aided Digital Design... 2 Emergence of HDLs... 3 Typical Design Flow (VLSI Design Flow)... 4 Importance of HDLs... 5 Popularity of Verilog HDL... 6 Trends in HDLs... 7 Major Capabilities of Verilog... 2 BASIC CONCEPTS OF VERILOG... 1 Laxical Conventions... 1 White Space... 2 Comments... 3 Operators... 4 Number Specification... 5 Strings... 6 Identifiers and Keywords... 7 Escaped Identifiers...

4 iv Contents 3 DATA A TYPES... 1 Value System... 2 Data Declaration... 3 Reg Declaration... 4 Net Declaration... 5 Syntax... 6 Port Types... 7 Delays on Nets... 8 Integer and Time... 9 Hierarchical Names Arrays Strings... 4 SYSTEM TASKS AND COMPILER DIRECTIVES... 1 System Tasks... 2 Compiler Directives... 5 GATE TE LEVEL MODELING... 1 Gate Types... 1 And/Or Gates... 2 BUF/NOT T Gates... 3 Array of Instances... 4 Examples... 2 Gate Delays... 1 Rise, Fall and Turnurn-off Delays... 2 Min/Typ/Max Values alues... 3 Delay Example... 6 DATAFL AFLOW MODELING Continuous Assignments...

5 Contents v 6.1 Implicit Continuous Assignment Implicit Net Declaration Delays Regular Assignment Delay Implicit Continuous Assignment Delay Net Declaration Delay... 7 HIERARCHICAL STRUCTURAL MODELING Design Methodologies Bit Ripple Carry Counter (Example For Design Hierarchy) Modules Components of a Simulation Example Design Block Stimulus Block... 8 TIMING AND DELAYS YS Delay Types Lumped Delay Distributed Delay Path ath Delay (Pin in to Pin in Delay) Classify Delay by Rise/Fall and Min/Max Rise and Fall Times Process Dependent Triads Complex Delays Verilog Specify Block Specparams Parallel Connection Fully Connected...

6 vi Contents 8.4 Conditional Path Delays Timing Checks Setup Time Hold Time Width Recovery Verilog Back Annotation... 9 PROGRAMMING LANGAUGE INTERFACE CE Uses of PLI Linking and Invocation of PLI Tasks Linking PLI Tasks Invoking PLI Tasks General Flow of PLI Task ask Addition and Invocation PLI Library Routines Access Routines... Short Questions and Answers Expected University Questions with Solutions UNIT - I [CH. - 2] ] [DESIGN OF ARITHMETIC CIRCUITS] USING VECTOREED SIGNALS... 2 USING A GENERIC SPECIFICATION... 3 NETS AND VARIABLES... 1 Nets... 2 Variables ariables... 4 ARITHMETIC ASSIGNMENT STATEMENTS TEMENTS... 5 REPRESENTATION TION OF NUMBERS IN VERILOG CODE... 6 GATE LEVEL MODELING OF 4-BIT BINARY ADDER Hierarchical Modeling of 4-bit Binary Adder...

7 Contents vii 7 GATE LEVEL MODELING OF BCD ADDER Hierarchical Modeling of BCD Adder... 8 GATE LEVEL MODELING OF 8-BIT COMPARA ARATORS Hierarchical Modeling of 8 Bit Comparator... 9 VERIFICATION Architectural Modeling Functional Verification Environment Simulation... Short Questions and Answers Expected University Questions with Solutions UNIT - II [CH. - 3] ] [BEHAVIOURAL MODELING] BEHAVIOURAL MODELING... 2 STRUCTURED PROCEDURES... 1 Initial Statement... 2 Always Statement... 3 In One Module... 3 PROCEDURAL ASSIGNMENTS... 1 Blocking Assignment... 2 Non-blocking Assignment... 4 TIMING CONTROLS... 1 Delay-Based Timing Control... 2 Event-Based Timing Control... 3 Level-Sensitive Timing Control... 5 CONDITIONAL STATEMENTS TEMENTS... 6 SEQUENTIAL AND PARALLEL BLOCKS OCKS Sequential Blocks Parallel Blocks...

8 viii Contents 7 GENERATE BLOCKS OCKS Generate Loop Generate Conditional Generate Case... 8 SWITCH LEVEL MODELING Switch-Modeling Elements MOS Switches CMOS Switches Bidirectional Switches Power and Ground Resistive Switches Delay Specification on Switches Examples CMOS NOR Gate to-1 Multiplexer Simple CMOS Latch... 9 TASKS Task Declaration and Invocation Task Examples Automatic (Re-Entrant) Tasks FUNCTIONS Function Declaration and Invocation Function Examples Automatic (Recursive) Functions Constant Functions Signed Functions Differences Between Tasks and Functions...

9 Contents ix 11 MULTIW TIWAY BRANCHING If Else If Case Comparison of Case and If-Else-If Casez and Casex LOOPS... Short Questions and Answers Expected University Questions with Solutions UNIT - II [CH. H. - 4] ] [BEHAVIOURAL/DATA FLOW MODELING OF BASIC MSI COMBINATIOAL LOGIC MODULES] ALUs... 2 MULTIPLEXERS to 1 Multiplexer to 1 Multiplexer to 1 Multiplexer... 4 Multiplexer Synthesis Using Shannon s s Expansion... 5 Expanding Multiplexers... 6 Applications of Multiplexers... 3 DEMULTIPLEXERS to 2 Demultiplexer to 4 Demultiplexer to 8 Demultiplexer... 4 Cascading of Demultiplexers... 5 Applications of Demultiplexers... 4 DECODERS Line to 4 Line Decoders Line to 8 Line Decoders...

10 x Contents 5 ENCODERS... 1 Binary Encoder to 2 Encoder to 3 Encoder (Octal to Binary Encoder)... 3 Decimal to BCD Encoder... 2 Priority Encoder... 1 Decimal to BCD Priority Encoder... 2 Octal to Binary Priority Encoder... 6 PARITY GENERATOR... 7 PARITY CHECKER... 8 BUS STRUCTURE Using a Shift Register for Control USING MULTIPLEXER TO O IMPLEMENT A BUS... 9 REACTION TIMER STATIC TIC TIMING ANALYSIS YSIS Pre-Layout Static Timing Analysis Post ost-l -Layout Static Timing Analysis Setup Time Hold Time LOGIC SYNTHESIS What is Logic Synthesis Impact of Logic Synthesis Verilog HDL Synthesis Verilog Constructs Verilog Operators Interpretation of a Few Verilog Constructs REGISTER TRANSFER LEVEL (RTL) CODE... Short Questions and Answers Expected University Questions with Solutions

11 Contents xi UNIT - III [CH. H. - 5] ] [BEHAVIOURAL/DATAFLOW MODELING OF SEQUENTIAL LOGIC MODULES] LATCHES - BASIC LATCH... 1 SR Latch... 1 NOR S-R Latch... 2 NAND S-R Latch... 3 NAND S-R Latch... 4 Clocked RS Flip-flop... 2 GATED SR LATCH CH... 3 GATED D LATCH... 4 FLIP FLOPS... 1 Triggering of Flip Flops... 1 Edge Triggering riggering... 2 Level Triggering... 2 SR Flip Flop... 1 Characteristic Table (or) Truth Table of SR Flip-flop -flop JK Flip-flop... 1 Characteristic Table of JK Flip-flop... 2 Race Around Condition... 4 D Flip Flop... 1 Characteristic Table of D Flip-flop... 5 T Flip Flop... 1 Characteristic Table of T Flip Flop... 6 Applications of Flip-Flops... 5 SHIFT REGISTERS... 1 Frequency Division... 6 COUNTERS...

12 xii Contents 7 MASTER-SLAVE AND EDGE TRIGGERED FLIPFLOPS Master Slave SR Flip-Flop Master-Slave JK Flip-Flop Master-Slave D Flip-Flop Master Slave T Flip-Flop Edge Triggered SR Flip-Flop Edge Triggered JK Flip-Flop -Flop Edge Triggered D Flip-Flop -Flop Edge Triggered T Flip-Flop... Short Questions and Answers Expected University Questions with Solutions UNIT - III [CH. - 6] ] [I] ANALYSIS AND SYNTHESIS OF SYNCHRONOUS SEQUENTIAL CIRCUITS Analysis of Sequential Circuits With ith Various Flip-Flops MELAY Y AND MOORE FSM MODELS FOR COMPLETELY Y AND INCOMPLETELY Y SPECIFIED CIRCUITS K-equivalence and K-distinguishable distinguishable Partition Techniques Machine Equivalence Simplification of Incompletely Specified Machines STATE TE MINIMIZATION Partitioning Minimization Procedure rocedure Incompletely Specified FSMs SEQUENCE DETECTOR...

13 Contents xiii 6.5 ONE HOT ENCODING SYNTHESIZABLE VERILOG HDL MODEL FPR SEQUENCE... DETECTOR USING MOORE MODEL SYNTHESIZABLE VERILOG HDL MODEL FOR SEQUENCE... DETECTOR USING MELAY MODEL DESIGN OF A MODULO-8 COUNTER USING THE SEQUENTIAL CIRCUIT APPROACH State Diagram and State Table for a Modulo-8 Counter State Assignment Example-A Different Counter FSM AS AN ARBITER CIRCUIT... Short Questions and Answers Expected University Questions with Solutions UNIT - IV [CH. - 7] ] [ALGORITHMIC [ STATE MACHINES (ASMS)] ALGORITHMIC STATE TE MACHINE (ASM) CHARTS TS ASM Block ASM Block Diagram of Mealy Circuit ASM Block Diagram of Moore Circuit Comparison between ASM Charts and State Diagrams Design Examples ASM Chart Implied Timing Information Data Path Circuit Control Circuit VHDL Code ASMD CHART FOR BINARY MULTIPLIER AND VERILOG HDL CODE...

14 xiv Contents 7.1 Datapath Circuit Control Circuit VHDL Code ONE HOT STATE TE CONTROLLER ASYNCHRONOUS SEQUENTIAL LOGIC ANALYSIS OF ASYNCHRONOUS SEQUENTIAL CIRCUIT Transition Table Flow Table able Race Conditions Types of Races aces Non-Critical Races Critical Races Cycles HAZARDS Hazards in a Combinational Circuits Hazards in a Sequential Circuits Types of Hazards Static Hazards Dynamic Hazards Essential Hazards Significance Hazards A COMPLETE DESIGN EXAMPLE The Vending Machine Controller... Short Questions and Answers Expected University Questions with Solutions

15 Contents xv UNIT - V [CH. H. - 8] ] [MEMORY DEVICES] TYPES OF MEMORIES TYPES OF ROMS Masked ROMs Programmable Read Only Memory (PROM) Erasable Programmable Read Only Memory (EPROM) Electrically Erasable Programmable Read Only Memory (E 2 PROM) Advantages of ROM Disadvantages of ROM Applications of ROM RAM (RANDOM ACCESS MEMORY) Types of RAMs Static RAM Read Cycle Write Cycle Dynamic RAM Read Cycle Write Cycle Advantages of RAM Disadvantages of RAM Transistor SRAM EXPANDING WORD SIZE AND CAPACITY CITY ASIC S...

16 xvi Contents 8.6 SPLD S PROM PROGRAMMABLE LOGIC ARRAY (PLA) General Structure of PLA Gate Level Diagram of PLA Types of PLA Mask-programmable PLA Field-programmable PLA PLA Program Table Advantages of PLA Disadvantages of PLA Applications of PLA PROGRAMMABLE ARRAY Y LOGIC (PAL) AL) General Structure of PAL AL Gate Level Diagram of PAL AL Realization of Switching Functions Using PAL AL Advantages of PAL AL Disadvantages of PAL AL COMPARISON BETWEEN PROM, PLA AND PAL AL GENERIC ARRAY LOGIC (GAL) COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLDS)...

17 Contents xvii 8.11 General Structure Basic Construction Using CPLDs Construction of Different Devices Using CPLDs Advantages of CPLDs Disadvantages of CPLDs FIELD PROGRAMMABLE GATE ARRAY (FPGAS) General Structure of FPGAs FPGA Placement Problem FPGA Routing Problem Versatile Place and Route Optimization by Simulated Annealing FPGA Routing With ith PathF athfinder Motivation Primary Modifications Advantages of FPGAs Disadvantages FPGAs Applications of FPGAs COMBINATIONAL CIRCUIT DESIGN WITH PROGRAMMABLE LOGIC DEVICES (PLDS) Advantages of PLDs Disadvantages of PLDs...

18 xviii Contents 8.14 CAD TOOLS VLSI Design using Computer-aided Design Tools Functional Specification and Verification erification Logical Design and Verification Circuit Design and Verification erification Physical Design and Verification... Short Questions and Answers Expected University Questions with Solutions

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

MLR Institute of Technology

MLR Institute of Technology MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad 500 043 Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April SET - 1 II B. Tech II Semester, Supplementary Examinations, April - 2012 SWITCHING THEORY AND LOGIC DESIGN (Electronics and Communications Engineering) Time: 3 hours Max Marks: 80 Answer any FIVE Questions

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Table of Contents 1. Introduction to Digital Logic 1 1.1 Background 1 1.2 Digital Logic 5 1.3 Verilog 8 2. Basic Logic Gates 9

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

Verilog Essentials Simulation & Synthesis

Verilog Essentials Simulation & Synthesis Verilog Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using Verilog standard language.

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10). II B. Tech II Semester Regular Examinations, May/June 2015 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, ECE, ECC, EIE.) Time: 3 hours Max. Marks: 70 Note: 1. Question Paper consists of two parts (Part-A

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method SET - 1 1. a) Convert the decimal number 250.5 to base 3, base 4 b) Write and prove de-morgan laws c) Implement two input EX-OR gate from 2 to 1 multiplexer (3M) d) Write the demerits of PROM (3M) e) What

More information

R07

R07 www..com www..com SET - 1 II B. Tech I Semester Supplementary Examinations May 2013 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, EIE, BME, ECC) Time: 3 hours Max. Marks: 80 Answer any FIVE Questions

More information

A Tutorial Introduction 1

A Tutorial Introduction 1 Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction 1 Getting Started A Structural Description Simulating the binarytoeseg Driver Creating Ports For the Module

More information

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition James M. Lee SEVA Technologies

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY & COMPUTER SCIENCE AND ENGINEERING QUESTION BANK II SEMESTER CS6201- DIGITAL PRINCIPLE AND SYSTEM DESIGN

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

SYLLABUS. osmania university CHAPTER - 1 : REGISTER TRANSFER LANGUAGE AND MICRO OPERATION CHAPTER - 2 : BASIC COMPUTER

SYLLABUS. osmania university CHAPTER - 1 : REGISTER TRANSFER LANGUAGE AND MICRO OPERATION CHAPTER - 2 : BASIC COMPUTER Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : REGISTER TRANSFER LANGUAGE AND MICRO OPERATION Difference between Computer Organization and Architecture, RTL Notation, Common Bus System using

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY QUESTION BANK Academic Year 2018 19 III SEMESTER CS8351-DIGITAL PRINCIPLES AND SYSTEM DESIGN Regulation

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011 www..com www..com Set No. 1 DIGITAL DESIGN THROUGH VERILOG (Common to Electronics & Communication Engineering, Bio-Medical Engineering and Electronics & Computer Engineering) 1. a) What is Verilog HDL?

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS FREQUENTLY ASKED QUESTIONS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

Lecture 13: Memory and Programmable Logic

Lecture 13: Memory and Programmable Logic Lecture 13: Memory and Programmable Logic Syed M. Mahmud, Ph.D ECE Department Wayne State University Aby K George, ECE Department, Wayne State University Contents Introduction Random Access Memory Memory

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

The Verilog Hardware Description Language

The Verilog Hardware Description Language Donald Thomas Philip Moorby The Verilog Hardware Description Language Fifth Edition 4y Spri nnger Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction Getting Started

More information

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I VLSI DESIGN (ELECTIVE-I) Question Bank Unit I B.E (E&C) NOV-DEC 2008 1) If A & B are two unsigned variables, with A = 1100 and B = 1001, find the values of following expressions. i. (A and B) ii. (A ^

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : DIGITAL LOGIC DESISN Code : AEC020 Class : B Tech III Semester

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

RTL Design (Using ASM/SM Chart)

RTL Design (Using ASM/SM Chart) Digital Circuit Design and Language RTL Design (Using ASM/SM Chart) Chang, Ik Joon Kyunghee University Process of Logic Simulation and Synthesis Design Entry HDL Description Logic Simulation Functional

More information

INDEX OF VERILOG MODULES

INDEX OF VERILOG MODULES INDEX OF VERILOG MODULES add and subtract behavioral, 221 structural, 221 adder, Floating-point, 250 adders behavioral, 215 carry look-ahead, 258 CLA, modules for radix-4, 261 CLA, radix-4, 260 full adder,

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS) SUBJECT NAME: DIGITAL LOGIC CIRCUITS YEAR / SEM : II / III DEPARTMENT : EEE UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1. What is variable mapping? 2. Name the two canonical forms for Boolean algebra.

More information

ii) Do the following conversions: output is. (a) (101.10) 10 = (?) 2 i) Define X-NOR gate. (b) (10101) 2 = (?) Gray (2) /030832/31034

ii) Do the following conversions: output is. (a) (101.10) 10 = (?) 2 i) Define X-NOR gate. (b) (10101) 2 = (?) Gray (2) /030832/31034 No. of Printed Pages : 4 Roll No.... rd 3 Sem. / ECE Subject : Digital Electronics - I SECTION-A Note: Very Short Answer type questions. Attempt any 15 parts. (15x2=30) Q.1 a) Define analog signal. b)

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UNIT I - NUMBER SYSTEMS AND LOGIC GATES Introduction to decimal- Binary- Octal- Hexadecimal number systems-inter conversions-bcd code- Excess

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives Formation VHDL Language: FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) - Programmation: Logique Programmable V1 - VHDL Language FPGA Programming

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Chapter 2 Basic Logic Circuits and VHDL Description

Chapter 2 Basic Logic Circuits and VHDL Description Chapter 2 Basic Logic Circuits and VHDL Description We cannot solve our problems with the same thinking we used when we created them. ----- Albert Einstein Like a C or C++ programmer don t apply the logic.

More information

Digital Logic Design Exercises. Assignment 1

Digital Logic Design Exercises. Assignment 1 Assignment 1 For Exercises 1-5, match the following numbers with their definition A Number Natural number C Integer number D Negative number E Rational number 1 A unit of an abstract mathematical system

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

APPENDIX A SHORT QUESTIONS AND ANSWERS

APPENDIX A SHORT QUESTIONS AND ANSWERS APPENDIX A SHORT QUESTIONS AND ANSWERS Unit I Boolean Algebra and Logic Gates Part - A 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500043 Course Name : DIGITAL LOGIC DESISN Course Code : AEC020 Class : B Tech III Semester Branch : CSE Academic Year : 2018 2019

More information

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER.

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER. DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER 2015 2016 onwards DIGITAL ELECTRONICS CURRICULUM DEVELOPMENT CENTRE Curriculum Development

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class Verilog & SystemVerilog Training Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings

More information

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Programmable logic devices (PLDs) are used for designing logic circuits. PLDs can be configured by the user to perform specific functions. The different types of PLDs available

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17320 21718 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Figures to the right indicate full marks. (4) Assume suitable data,

More information

Storage Elements & Sequential Circuits

Storage Elements & Sequential Circuits Storage Elements & Sequential Circuits LC-3 Data Path Revisited Now Registers and Memory 5-2 Combinational vs. Sequential Combinational Circuit always gives the same output for a given set of inputs Øex:

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

RTL HARDWARE DESIGN USING VHDL. Coding for Efficiency, Portability, and Scalability. PONG P. CHU Cleveland State University

RTL HARDWARE DESIGN USING VHDL. Coding for Efficiency, Portability, and Scalability. PONG P. CHU Cleveland State University ~ ~~ ~ ~~ ~ RTL HARDWARE DESIGN USING VHDL Coding for Efficiency, Portability, and Scalability PONG P. CHU Cleveland State University A JOHN WlLEY & SONS, INC., PUBLICATION This Page Intentionally Left

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Honorary Professor Supercomputer Education and Research Centre Indian Institute of Science, Bangalore

Honorary Professor Supercomputer Education and Research Centre Indian Institute of Science, Bangalore COMPUTER ORGANIZATION AND ARCHITECTURE V. Rajaraman Honorary Professor Supercomputer Education and Research Centre Indian Institute of Science, Bangalore T. Radhakrishnan Professor of Computer Science

More information

DIGITAL ELECTRONICS. Vayu Education of India

DIGITAL ELECTRONICS. Vayu Education of India DIGITAL ELECTRONICS ARUN RANA Assistant Professor Department of Electronics & Communication Engineering Doon Valley Institute of Engineering & Technology Karnal, Haryana (An ISO 9001:2008 ) Vayu Education

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SUBJECT: CSE 2.1.6 DIGITAL LOGIC DESIGN CLASS: 2/4 B.Tech., I SEMESTER, A.Y.2017-18 INSTRUCTOR: Sri A.M.K.KANNA

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Chapter 5. Register Transfer Level (RTL) Design High Level State Machine (HLSM) Memory Chapters 5

Chapter 5. Register Transfer Level (RTL) Design High Level State Machine (HLSM) Memory Chapters 5 Chapter 5 Register Transfer Level (RTL) Design High Level State Machine (HLSM) Memory Chapters 5 High Level Sequential Behavior FSM can be used to capture sequential behavior using bit inputs HLSM can

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information