Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Size: px
Start display at page:

Download "Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3"

Transcription

1 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3

2 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter Introduction The various sections discussed in this chapter are as follows. The section 3.2 describes about the existing multipliers such as array multiplier, Baugh-Wooley multiplier and the MBE multiplier. Then the design of the proposed array multiplier is illustrated in the section 3.3. In this section the logic needed for converting unsigned array multiplier to function for both signed and unsigned number is described, and then the design of VCA as the PPRT and CLCSA as the CPA are illustrated. In the later section 3.4 using radix-256 array multiplier the bit array multiplier is implemented. Section 3.5 is about the results and discussion. Section 3.6 describes simulation waveforms. Final section 3.6 gives the summary. This chapter is concerned with the design and implementation of 8 8-bit array multiplier for signed and unsigned numbers. Using this 8 8 array multiplier the array multiplier is implemented. The 2-input AND gate is used as the PPG for array multiplier. The MBE multiplier PPG consists of encoder and the decoder logic. These encoder and decoder logic requires several logic gates for the implementation. The literature review on the MBE [4] shows the minimum number of 46 transistors needed to implement the PPG in CMOS logic. Further comparison on the number of partial product generated by MBE and the array multiplier is described as follows. The MBE multiplier generates {(n/2) + 1} partial product rows, but the array multiplier generates n number of partial product rows. For 36

3 small size multiplier such as 4 4-bit operation 3-partial products are generated by the MBE and 4-partial products are generated by the array multiplier. Similarly, for an -bit multiplier 5- partial products are generated by the MBE and 8-partial products are generated by an array multiplier. Thus an array multiplier of small size is comparable with the MBE multiplier. Also the literature reviews on the MBE [1-4], have proposed multiplication operation using 2 s complement signed numbers. And array multiplier performs the operation of multiplication on unsigned numbers. Therefore, in this chapter, we have proposed signed and unsigned array multiplier that can perform multiplication operation on signed and unsigned numbers. 3.2 Existing Multipliers In this section an array multiplier for unsigned number, an array multiplier for signed number, the MBE multiplier and Baugh-Wooley multiplier for signed number are described as follows Array Multiplier Array multiplier uses two input AND logic for the generation of partial products. Figure 3.1 shows the partial products of an -bit multiplier. This array multiplier operates only on the unsigned number operands. The advantages are as follows. i. Uses only 2-input AND gate for PPG and is implemented in CMOS logic using only six transistors the area is very small and the lower power consumption. ii. The delay is comparable for small size array multipliers with the MBE multiplier. iii. Using small size multiplier radix-n multiplier can be designed. The disadvantage is the delay that increases if the array multiplier is designed for long width multipliers. 37

4 a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 a 7 b 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 a 7 b 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 a 7 b 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 a 7 b 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 a 7 b 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 a 7 b 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 a 7 b 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.1: An -bit unsigned array multiplier Figure 3.2 shows the partial products of an -bit multiplier signed number array multiplier. This also uses 2-input AND for the PPG. This array multiplier operates only on the signed number operands, but fails to operate on the unsigned number. For this multiplier, it is required to consider a control signal that can modify this multiplier to function as signed and unsigned multiplier. a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 a 7 b 0 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 a 7 b 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 a 7 b 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 a 7 b 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 a 7 b 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 a 7 b 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 a 7 b 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 1 a 7 b 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.2: An -bit signed array multiplier 38

5 3.2.2 Baugh-Wooley Multiplier Figure 3.3 shows -bit Baugh-Wooley signed number multiplier. It uses two an input AND gate for generating the PPG. For signed number multiplication Baugh-Wooley multiplication method uses 2 s complement number system. The Baugh-Wooley uses Hatamian s scheme for 2 s complement number system for the multiplication operation. Like the array multiplier, the Baugh-Wooley multiplier also generates n-number of partial products. a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 1 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 a 7 b 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 a 7 b 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 a 7 b 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 a 7 b 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 a 7 b 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 a 7 b 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 1 a 7 b 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.3: An -bit Baugh-Wooley multiplier The MBE Multiplier Figure 3.4 shows an bit signed MBE multiplier. The MBE PPG uses 68, 56, 62 and 46 transistors for the references [1-4] respectively, when compared two input AND (six transistors using CMOS logic) gate logic of array multiplier. Thus the PPG using the MBE occupies more area and consumes more power. There are 42 partial product bits, therefore total number of transistors required to implement [1-4] are as follows. Number of transistors for [1] = = 2856 Number of transistors for [2] = = 2352 Number of transistors for [3] = =

6 Number of transistors for [4] = = 1932 But the array multiplier requires 42 6 = [4] is {( )/1932} 100 = 86%. 252, thus the % of area saved compared with a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 p 08 p 08 p 08 p 07 p 06 p 05 p 04 p 03 p 02 p 01 p 00 1 p 18 p 17 p 16 p 15 p 14 p 13 p 12 p 11 p 10 n 0 1 p 28 p 27 p 26 p 25 p 24 p 23 p 22 p 21 p 20 n 1 1 p 38 p 37 p 36 p 35 p 34 p 33 p 32 p 31 p 30 n 2 n 3 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.4: An 8 8 bit signed MBE multiplier From the above discussion following points are considered. i. Two separate array multipliers are needed for the signed and unsigned multiplication operation. ii. Baugh-Wooley multiplier operates only on the signed number system, but fails to operate on the unsigned number system. iii. MBE multiplier operates only on the signed number system, but fails to operate on the unsigned number system. 3.3 Design of Proposed Array Multiplier From the discussion in the section 3.2, we have proposed an 8 8-bit signed and unsigned array multiplier. To covert unsigned into the signed multiplier the sign extend bits (e 0 through e 0 ) are used as shown in the Figure

7 a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 e 0 e 0 e 0 e 0 e 0 e 0 e 0 e 0 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 e 1 e 1 e 1 e 1 e 1 e 1 e 1 a 7 b 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 e 2 e 2 e 2 e 2 e 2 e 2 a 7 b 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 e 3 e 3 e 3 e 3 e 3 a 7 b 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 e 4 e 4 e 4 e 4 a 7 b 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 e 5 e 5 e 5 a 7 b 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 e 6 e 6 a 7 b 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 e 7 a 7 b 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.5: An -bit signed unsigned array multiplier Algorithm that converts unsigned multiplier into signed multiplier is explained as follows. Case 1: When both operands are positive or unsigned operands When both operands multiplicand (A) and multiplier (B) are positive or unsigned the extended sign bits are assigned with 0 s as given in the equation (3.1) e 0 = 0, e 1 = 0,.. e 7 = 0 (3.1) Case 2: When multiplicand is negative and multiplier is positive In this case, multiplicand is negative, and the negative multiplicand operand is represented by the 2 s complement number system. Since the partial product and the final result are negative, the sign of each partial product should be extended. Therefore the sign extended bits are assigned with the multiplier operand bits as given by the equation (3.2) e 0 =b 0, e 1 = b 1,..e 7 = b 7 (3.2) Case 3: When multiplicand is positive and multiplier is negative 41

8 When multiplicand (A) is positive and multiplier (B) is negative. In this case the operands are exchanged, because the array multiplier can t produce correct result for signed number when the multiplier is negative. Therefore, operand (A) and (B) are exchanged as given by the equation (3.3) and sign bits are assigned with 1 as given by the equation (3.4). a 0 = b 0, a 1 = b 1,..a 7 = b 7 e 0 =b 0,, e 1 =b 1,,..e 7 = b 7 (3.3) (3.4) Case 4: When multiplicand is negative and multiplier is negative When both the operands are negative, then these operands are required to be represented in 2 s complement number systems. Since the product of negative operand is positive, the 2 s complimented operands are further 2 s complemented to convert into the positive operands as follows. e 0 = 0, e 1 = 0,.. e 7 = 0 a = not (a 7, a 6,.. a 0 )+1 b = not (b 7, b 6.. b 0 )+ 1 (3.5) (3.6) (3.7) a 7 a 6 a 5 a 4 a 3 a 2 a 1 a 0 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 s 0 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 s 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 s 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 s 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 s 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 s 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 s 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 s 8 s 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 pp 1 pp 2 pp 3 pp 4 pp 5 pp 6 pp 7 pp 8 p 15 p 14 p 13 p 12 p 11 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 Figure 3.6: An 8 8-bit novel signed unsigned array multiplier 42

9 Figure 3.6 shows an 8-partial products of signed and unsigned array multiplier with sign logic compared to the sign extend logic of Figure 3.5 of an array multiplier. The requirement of signed and unsigned array multiplier is as listed in the Table 3.1 Table 3.1: Truth table of signed unsigned array multiplier sign_unsign (s_u) s_u a n-1 b n-1 Type of operation Unsigned multiplication Signed multiplication when A and B positive Signed multiplication when B is negative Signed multiplication when A is negative Signed multiplication when A and B are negative This above conversion is required, because the array produces wrong result when both the operands are negative. From the Table 3.1, for the sign extension logic the following expressions are obtained, and implemented as shown in Figure 3.7 and Figure 3.8. e n-1 = s_u( a n-1 b n-1 ) s n-1 = a n-1 (s _u b n-1 ) s 0 = s_u(a 7 b 0 ) s 1 =a 7 (s_u b 1 ) s 2 = a 7 (s_u b 2 ) s 3 =a 7 (s_u b 3 ) s 4 = a 7 (s_u b 4 ) s 5 = a 7 (s_u b 5 ) s 6 =a 7 (s_u b 6 ) s 7 =a 7 (s_u b 7 ) s 8 =a 7 (s_u b 7 ) (3.8) (3.9) (3.10) (3.11) (3.12) (3.13) (3.14) (3.15) (3.16) (3.17) (3.18) 43

10 a n-1 s_u b n-1 e n-1 b n-1 s n-1 s_u a n-1 Figure 3.7: Sign extend logic for figure 3.5 Figure 3.8: Sign extend logic for figure Design of VCA The PPRT converts 8 partial products (pp 1... pp 8 ) of Figure 3.6 into an array of two rows. To implement PPRT, we have proposed the Vertical Column Adder (VCA) as shown in Figure 3.9. The VCA is consists of cell-1and cell-2 as shown in Figure 3.10 and Figure Each cell-1 produces 3-bit partial products and adding using full adder () to produce sum (s i )and the carry (c i+1 ). Each cell-2 produces 2-bit partial products, and adding using half adder (HA) to produce sum (s i ) and carry (c i+1 ). The PPGR in Figure 3.9 produces 3-sets of the rows of sum and carry signals. Then by using another level of 3:2 compressors, the final arrays of sum and carry is obtained. Finally, adding these two rows using CPA, the final product of multiplication is obtained. The partial product of Figure 3.6 taking together as pp 1, pp 2 and pp 3 is shown in the Figure These three partial products are generated in parallel and are added to generate the arrays of sum and carry as shown in the Figure Since the logic diagram of Figure 1.13 performs the operation of PPG and PPRT it is referred to as the PPGR. The partial product of Figure 3.6 taking together as pp 4, pp 5 and pp 6 is shown in the Figure These three partial products are generated in parallel and are added to generate the arrays of sum and carry as shown in the Figure The partial product of Figure 3.6 taking together as pp 7 and pp 8 is shown in the Figure These three partial products are generated in parallel and are added to generate the arrays of sum and carry as shown in the Figure

11 a 6 s 1 s 0 a 7 a 6 a 5 a 6 a 5 a 4 a 5 a 4 a 3 a 4 a 3 a 2 a 3 a 2 a 1 a 2 a 1 a 0 a 0 a 0 b 0 a 1 b 2 b 1 b 0 HA c 8 s 8 c 7 s 7 c 6 s 6 c 5 s 5 c 4 s 4 c 3 s 3 c 2 s 2 c 1 p 1 p 0 s 4 a 6 s 3 a 6 a 5 a 6 a 5 a 4 a 5 a 4 a 3 a 4 a 3 a 2 a 3 a 2 a 1 a 2 a 1 a 0 a 1 a 0 a 0 b 3 b 5 b 4 b 3 HA HA c 16 s 16 c 15 s 15 c 14 s 14 c 13 s 13 c 12 s 12 c 11 s 11 c 10 s 10 c 9 s 9 p x s 6 a 6 a 6 a 2 a 5 a 5 a 4 a 4 a 3 a 3 a 2 a 1 a 1 a 0 a 0 b 6 b 7 b 6 HA HA HA HA HA s 5 s 2 c 22 s 22 c 21 s 21 c 20 s 20 c 19 s 19 c 18 s 18 c 17 s 17 c 16 s 16 p y 3: 2 Compressors c 38..c 23 s 38..s 23 Figure 3.9: Architecture of VCA for PPRT 45

12 a i+2 a i+1 a i a i+1 a i b i b i+1 b i+2 b i b i+1 HA c i+1 Figure 3.10: Structure of cell-1 s i c i+1 s i Figure 3.11: Structure of cell-2 s 0 a 7 b 0 a 6 b 0 a 5 b 0 a 4 b 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 s 1 a 6 b 1 a 5 b 1 a 4 b 1 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 s 2 a 6 b 2 a 5 b 2 a 4 b 2 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 pp 1 pp 2 pp 3 Figure 3.12: The partial products pp 1, pp 2 and pp 3 a 6 s 1 s 0 a 7 a 6 a 5 a 6 a 5 a 4 a 5 a 4 a 3 a 4 a 3 a 2 a 3 a 2 a 1 a 2 a 1 a 0 a 1 a 0 a 0 b 0 b 0 b 1 b 2 H c 8 s 8 c 7 s 7 c 6 s 6 c 5 s 5 c 4 s 4 c 3 s 3 c 2 s 2 c 1 p 1 p 0 Figure 3.13: The PPGR for pp 1, pp 2, and pp 3 46

13 s 3 a 6 b 3 a 5 b 3 a 4 b 3 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 s 4 a 6 b 4 a 5 b 4 a 4 b 4 a 3 b 4 a 2 b 4 a 1 b 4 a 0 b 4 s 5 a 6 b 5 a 5 b 5 a 4 b 5 a 3 b 5 a 2 b 5 a 1 b 5 a 0 b 5 pp 4 pp 5 pp 6 Figure 3.14: The partial products pp 4, pp 5 and pp 6 s 4 a 6 s 3 a 6 a 5 a 6 a 5 a 4 a 5 a 4 a 3 a 4 a 3 a 2 a 3 a 2 a 1 a 2 a 1 a 0 a 1 a 0 a 0 b 3 b 3 b 4 b 5 HA HA c 16 s 16 c 15 s 15 c 14 s 14 c 13 s 13 c 12 s 12 c 11 s 11 c 10 s 10 c 9 s 9 p x Figure 3.15: The PPGR for pp 4, pp 5, and pp 6 s 6 a 6 b 6 a 5 b 6 a 4 b 6 a 3 b 6 a 2 b 6 a 1 b 6 a 0 b 6 s 8 s 7 a 6 b 7 a 5 b 7 a 4 b 7 a 3 b 7 a 2 b 7 a 1 b 7 a 0 b 7 pp 7 pp 8 Figure 3.16: The partial products pp 7 and pp 8 s 6 a 6 a 6 a 2 a 5 a 5 a 4 a 4 a 3 a 3 a 2 a 1 a 1 a 0 a 0 b 6 b 6 b 7 HA HA HA HA HA s 5 s 2 c 22 s 22 c 21 s 21 c 20 s 20 c 19 s 19 c 18 s 18 c 17 s 17 c 16 s 16 p y Figure 3.17: The PPGR for pp 7 and pp 8 47

14 The VCA consists of full adders in two levels, and are operated in parallel to produce the final two rows of sum and carry. The building blocks of the VCA are the full adders, operated in parallel for the addition of all the column bits. An expression for the sum and carry of full adder is given by the equations (3.19) and (3.20). In CMOS logic the full adder is implemented using only 12 transistors as shown in Figure s i = x i+1 x i+2 c i c i+1 = (x i+1 x i+2 )c i + ( x i+1 x i+2 )x i+1 (3.19) (3.20) The logic required for SCGP is derived from the equation (3.20) is given by the equations (3.21) and (3.22). Where cp i is called carry propagate signal and cg i is called carry generate signal. cp i = x i+1 x i+2 cg i = (x i+1 x i+2 ) x i+1 (3.21) (3.22) The design of high performance SCGP logic circuit is implemented using the equations (3.21) through (3.22) is as shown in Figure The SCGP logic circuit can save the extra hardware needed to generate carry and propagate signals. The SCGP circuit is used in the 8-bit CLA adder circuit of Figure The circuit diagram of Figure 3.18 and Figure 3.19 is designed for small area, high speed and lower power consumption. Since most part of the multiplier consists of full adder and SCGP circuit, these are critical circuits for the multiplier performance. x i x i y s i y i cg i cp i s i c i c i+1 c i Figure 3.18: Circuit diagram of full adder Figure 3.19: Circuit diagram of SCGP 48

15 3.3.2 Design of CLCSA Finally, two rows of the PPRT are added by the CPA to obtain the product of an -bit signed unsigned array multiplier. For this we have designed the Carry Look-ahead Adder and Carry Select Adder (CLCSA) as the CPA. The CLCSA combines the effect of Carry Look-ahead Adder and Carry Select Adder as shown in Figure In this method, the 8- bit CLA adder is used in cascade through carry select adder technique for high performance. The carry expressions for 8-bit CLA adder are given by the equations (3.23) through (3.30). Equations (3.23) through (3.30) are implemented as shown in Figure Inputs g 0 through g 7 have been provided from the SCGP circuit of Figure An 8 bit CLA of Figure 3.22 is implemented using 184 transistors. There are three such 8-bit CLA s and thus = = 808 transistors are required to implement the 16-bit CLA adder of Figure c 1 = g 0 +p 0 c 0 (3.23) c 2 = g 1 + p 1 g 0 + p 1 p 0 c 0 (3.24) c 3 = g 2 + p 2 g 1 + p 2 p 1 g 0 + p 2 p 1 p 0 c 0 (3.25) c 4 = g 3 + p 3 g 2 +p 3 p 2 g 1 + p 3 p 2 p 1 g 0 + p 3 p 2 p 1 p 0 c 0 (3.26) c 5 = g 4 + p 4 g 3 + p 4 p 3 g 2 + p 4 p 3 p 2 g 1 + p 4 p 3 p 2 p 1 g 0 + p 4 p 3 p 2 p 1 p 0 c 0 (3.27) c 6 = g 5 +p 5 g 4 + p 5 p 4 g 3 + p 5 p 4 p 3 g 2 + p 5 p 4 p 3 p 2 g 1 + p 5 p 4 p 3 p 2 p 1 g 0 + p 5 p 4 p 3 p 2 p 1 p 0 c 0 (3.28) c 7 = g 6 + p 6 g 5 + p 6 p 5 g 4 + p 6 p 5 p 4 g 3 + p 6 p 5 p 4 p 3 g 2 + p 6 p 5 p 4 p 3 p 2 g + p 6 p 5 p 4 p 3 p 2 p 1 g 0 + p 6 p 5 p 4 p 3 p 2 p 1 p 0 c 0 (3.29) c 8 = g 7 +p 7 g 6 + p 7 p 6 g 5 + p 7 p 6 p 5 g 4 + p 7 p 6 p 5 p 4 g 3 + p 7 p 6 p 5 p 4 p 3 g 2 + p 7 p 6 p 5 p 4 p 3 p 2 g 1 + p 7 p 6 p 5 p 4 p 3 p 2 p 1 g 0 + p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 c 0 (3.30) 49

16 Figure 3.20 shows the block diagram of an 8-bit CLCSA. An 8-bit CLA adder can produce carry in parallel and there are two 8-bit CLA s in each stage with 0 and 1 as the initial carry input. If the final carry output from the previous stage of 8 bit CLA adder is 1 then the output selected by the 2:1 multiplexer is the output of the CLA adder with 1 input as the initial carry. If the final carry output is 0 then the output selected by the 2:1 multiplexer is the output of the CLA adder with 0 input as the initial carry. The circuit diagram of 2:1 multiplexer is as shown Figure 3.21.The delay of the CLCSA is given by the equation (3.31). T CLCSA = (n/2)t CLA + (n/2) t MUX (3.31) Where n is the number of CLA adder blocks and t CLA is the delay of each CLA adder block and t MUX is the delay of 2:1 multiplexer. x 15 - x 8 y 15 - y 8 x 7 - x 0 y 7 - y 0 8-bit CLA adder 8-bit CLA adder bit CLA adder c in 2:1 eight multiplexers c 8 p 15 p 8 p 7 - p 0 Figure 3.20: Architecture of CLCSA for 8-bit multiplier p 0i c 7i p i p 1i Figure 3.21: Circuit diagram of 2: 1 multiplexer 50

17 c 8 p 0 p 1 p 2 p 3 p 4 p 5 p 6 p 7 Figure 3.22: Circuit diagram of an 8-bit CLA adder 3.4 Design of bit Array Multiplier Using Radix-256 Hence, from the discussion in the previous section, it is clear that, once the smaller size such as 4 4 or array multiplier is designed with less area, lower power consumption, and considerable critical path delay, then these multipliers can be used in parallel to design 16, 32, and 64 bit signed unsigned multipliers. These multipliers can serve as the basic building blocks, and also can be used to design parallel, pipeline, and the superscalar pipeline multipliers. By using an -bit array multiplier for signed unsigned number, the 32-bit multiplier for signed-unsigned number can be designed and implemented as illustrated in the following section. For designing bit multiplier using an 8-bit multiplier, sixteen 8-bit multipliers are needed to operate in parallel as shown in Figure Let A and B be the 32-bit operands for multiplication operation, these operands can be decomposed as follows. A = A 0 + A 1.2 k + A 2.2 2k + A 2.2 3k B = B 0 + B 1.2 k + B 2.2 2k + B 2.2 3k 51

18 Then the product P = A B is computed as follows P = A B = (A 0 + A 1.2 k + A 2.2 2k + A 2.2 3k ) ( B 0 + B 1.2 k + B 2.2 2k + B 2.2 3k ) After simplifying this expression 16 product terms are obtained. And these sixteen 8-bit multipliers are arranged with 4-rows as shown in the Figure The CLCSA of the section is used to add the four rows of 8-bit multipliers. p 63 -p 56 p 55 -p 48 p 47 -p 40 p 39 -p 32 p 31 -p 24 p 23 -p 16 p 15 -p 8 p 7 -p 0 Figure 3.23: The bit array multiplier using sixteen 8-bit multipliers 3.5 Simulation Results and Discussion Our proposed an -bit signed and unsigned array multiplier of Figure 3.6 is compared with an signed MBE multipliers of Figure 3.4. For an array multiplier, partial product bit (1-bit) is generated by using 2-input AND gate, and in CMOS logic it is implemented using only 6 transistors. But the MBE [1-4] multipliers have been used 68, 56, 62 and 46 transistors to generate 1-bit of partial product respectively. 52

19 The VCA which consist of PPG and PPRT referred to as the PPGR is used to reduce the partial product array, but in case of the MBE multipliers, the Carry Save Adder (CSA) scheme is used for the PPRT. For final addition the CLCSA method is used to obtain the product of multiplication. And for the MBE multipliers CLA/Carry Select Adder scheme is used for the final addition. The 45nm CMOS technology Microwind tool is used to obtain the simulation results. From the simulation results critical path delay, the area and the power consumption are measured. The circuit such as PPGR and CLCSA are implemented using the Digital Schematic Tool. The schematic is compiled into the Verilog HDL code. After compilation the Verilog HDL code is translated into the layout. Finally, the layout is synthesized to obtain the delay, the area and the power consumption. The simulation results are as listed in the Table 3.2 and the Table 3.3. In Table 3.2 the PPG of an array multiplier using AND gate is compared with the PPG of MBE multipliers. In Table 3.3 an -bit signed unsigned array multiplier is compared with the MBE multipliers and from these results it is concluded that, there is an improvement in the delay by 25%, reduction in the area by 75% and saved in the power consumption by 40% of array multiplier over the MBE multiplier. This improvement in the result is due to the reduction in hardware, implementation logic of PPG, VCA and CLCSA scheme. Table 3.2: Comparison of Array PPG with MBE PPG References Number of Delay Area Power transistors (ns) ( m 2 ) ( W) Reference [4] Reference [5] Reference [6] Reference [7] Proposed

20 Table 3.3: Comparison of Array and MBE multiplier Size References Number of Delay Area Power Transistors (ns) ( m 2 ) ( W) Reference [1] Reference [2] Reference [3] Reference [4] Proposed In the table 3.3 various computations are as follows. For the proposed multiplier total number of transistors = PPG + PPRT + CPA = = 1196 The percentage of the delay, the area and the power consumption compared to [1] is computed as follows. Delay % = {( )/0.27} 100 = 25% Area % = {( )/1372} 100 = 75% Power % = {(142-85)/142} 100 = 40 % For the proposed multiplier of Figure 3.5 the Verilog HDL /VHDL code is written. After the successful compilation the RTL view generated is shown in Figure The RTL view indicates that the multiplier simulated is an -bit multiplier with operand a and operand b of 8-bit each. The product p is of 16-bit represents the result of multiplication operation. The signed-unsigned bit (s_u) indicates the type multiplication operation, when s_u = 0, indicates unsigned and when s_u = 1 the signed multiplication operation. 54

21 Figure 3.25 shows the simulation result of an 8-bit multiplier. The result in the waveform is discussed as follows. Case 1: When s_u = 0, the product of unsigned operand is (255) (255) = (65025). Case 2: When s_u = 1, the product of signed operand is (-1) (-1) =

22 Figure 3.26 shows the simulation result when the operands are in decimal. The result in the waveform is discussed as follows. Case 1: When s_u = 0, the product of unsigned operand is (255) (255) = Case 2: When s_u = 1, the product of signed operand is (-1) (-1) = +1. Figure 3.27 shows the simulation results in decimal number system when the s_u = 0 and s_u = 1. Case 1: When s_u = 0, the operands a = 127 and b = 127 are treated as the unsigned number and the product is = This case illustrates the condition that the operands are unsigned and positive. The positive operands in 2 s complement number system and unsigned number system, the value of magnitude is same for the range of operand from 0 to Case 2: When s_u = 1, the operands a = +1 and b = -1 are treated as the unsigned number and the product is (+1) (-1) = -1. This case illustrates the condition that the operands in 2 s complement number system for 8-bit is positive from 0 to +127 and negative operand is from -1 to

23 Figure 3.28 shows the simulation results in binary number system when the s_u = 0 and s_u = 1. Case 1: When s_u = 0, the operand a = and b = are treated as the unsigned number and the product is = Case 2: When s_u = 1, the operands a = (-1) and b = (+1) are treated as the signed number and the product is = (-1). Figure 3.29 shows the simulation results of binary number system when s_u = 0 and s_u =1. Case 1: When s_u = 0, unsigned number operation is performed. An 8-bit operands are (128) and (128) then the product is (128) (128) = (16384). Case 2: When s_u = 1, signed number operation is performed. An 8-bit operands are (-128) and (+127) then the product is (-128) (+127) = (-16256). 57

24 Figure 3.30 shows the simulation results of decimal number system when s_u = 0 and s_u =1. This waveform is the special case of the waveform in Figure When s_u = 0 and when s_u = 1, the product remains same. 58

25 Case 1: When s_u = 0, unsigned number operation is performed. An 8-bit operands are (128) and (128) then the product is (128) (128) = (16384) as shown in Figure Case 2: When s_u = 1, signed number operation is performed. An 8-bit operands are (-128) and (-128) then the product is (-128) (-128) = Summary In this chapter, we have discussed various types of multipliers, and then we have proposed an 8 8 array multiplier. This proposed multiplier operates on signed as well as unsigned number system. For this multiplier to function for signed and unsigned number the required sign logic is implemented. In our proposed array multiplier 2-input AND gate PPG is used along with the PPRT and is referred to as the PPGR. It performs two functions such generating partial product and also reduces the partial product rows. 59

26 Once the high performance 8-bit multiplier is designed then this multiplier can be used to design long width multipliers. For the 32-bit proposed array multiplier only four rows are required. But when the MBE is 16 partial products are generated and there is long delay path in the implementation of the PPRT. With the designed approaches of PPGR, signed logic and CLCSA methods, simulation results have shown the reduction in the delay by 25%, reduction in the area by 75% and reduction the power consumption by 40% over the MBE multiplier. 60

JOURNAL OF INTERNATIONAL ACADEMIC RESEARCH FOR MULTIDISCIPLINARY Impact Factor 1.393, ISSN: , Volume 2, Issue 7, August 2014

JOURNAL OF INTERNATIONAL ACADEMIC RESEARCH FOR MULTIDISCIPLINARY Impact Factor 1.393, ISSN: , Volume 2, Issue 7, August 2014 DESIGN OF HIGH SPEED BOOTH ENCODED MULTIPLIER PRAVEENA KAKARLA* *Assistant Professor, Dept. of ECONE, Sree Vidyanikethan Engineering College, A.P., India ABSTRACT This paper presents the design and implementation

More information

Design and Implementation of Advanced Modified Booth Encoding Multiplier

Design and Implementation of Advanced Modified Booth Encoding Multiplier Design and Implementation of Advanced Modified Booth Encoding Multiplier B.Sirisha M.Tech Student, Department of Electronics and communication Engineering, GDMM College of Engineering and Technology. ABSTRACT:

More information

Chapter 4 Design of Function Specific Arithmetic Circuits

Chapter 4 Design of Function Specific Arithmetic Circuits Chapter 4 Design of Function Specific Arithmetic Circuits Contents Chapter 4... 55 4.1 Introduction:... 55 4.1.1 Incrementer/Decrementer Circuit...56 4.1.2 2 s Complement Circuit...56 4.1.3 Priority Encoder

More information

Chapter 4. Combinational Logic

Chapter 4. Combinational Logic Chapter 4. Combinational Logic Tong In Oh 1 4.1 Introduction Combinational logic: Logic gates Output determined from only the present combination of inputs Specified by a set of Boolean functions Sequential

More information

An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder

An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder 1.M.Megha,M.Tech (VLSI&ES),2. Nataraj, M.Tech (VLSI&ES), Assistant Professor, 1,2. ECE Department,ST.MARY S College of Engineering

More information

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm 2016 IJSRSET Volume 2 Issue 3 Print ISSN : 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology 32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding

More information

UNIT II - COMBINATIONAL LOGIC Part A 2 Marks. 1. Define Combinational circuit A combinational circuit consist of logic gates whose outputs at anytime are determined directly from the present combination

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 6c High-Speed Multiplication - III Spring 2017 Koren Part.6c.1 Array Multipliers The two basic operations - generation

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 6c High-Speed Multiplication - III Israel Koren Fall 2010 ECE666/Koren Part.6c.1 Array Multipliers

More information

FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS

FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS NUNAVATH.VENNELA (1), A.VIKAS (2) P.G.Scholor (VLSI SYSTEM DESIGN),TKR COLLEGE OF ENGINEERING (1) M.TECHASSISTANT

More information

ECE 341. Lecture # 6

ECE 341. Lecture # 6 ECE 34 Lecture # 6 Instructor: Zeshan Chishti zeshan@pdx.edu October 5, 24 Portland State University Lecture Topics Design of Fast Adders Carry Looakahead Adders (CLA) Blocked Carry-Lookahead Adders Multiplication

More information

II. MOTIVATION AND IMPLEMENTATION

II. MOTIVATION AND IMPLEMENTATION An Efficient Design of Modified Booth Recoder for Fused Add-Multiply operator Dhanalakshmi.G Applied Electronics PSN College of Engineering and Technology Tirunelveli dhanamgovind20@gmail.com Prof.V.Gopi

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 09 MULTIPLEXERS OBJECTIVES: To experimentally verify the proper operation of a multiplexer.

More information

Outline. Combinational Circuit Design: Practice. Sharing. 2. Operator sharing. An example 0.55 um standard-cell CMOS implementation

Outline. Combinational Circuit Design: Practice. Sharing. 2. Operator sharing. An example 0.55 um standard-cell CMOS implementation Outline Combinational Circuit Design: Practice 1. Derivation of efficient HDL description 2. Operator sharing 3. Functionality sharing 4. Layout-related circuits 5. General circuits Chapter 7 1 Chapter

More information

Combinational Circuit Design: Practice

Combinational Circuit Design: Practice Combinational Circuit Design: Practice Chapter 7 1 Outline 1. Derivation of efficient HDL description 2. Operator sharing 3. Functionality sharing 4. Layout-related circuits 5. General circuits Chapter

More information

Partial product generation. Multiplication. TSTE18 Digital Arithmetic. Seminar 4. Multiplication. yj2 j = xi2 i M

Partial product generation. Multiplication. TSTE18 Digital Arithmetic. Seminar 4. Multiplication. yj2 j = xi2 i M TSTE8 igital Arithmetic Seminar 4 Oscar Gustafsson Multiplication Multiplication can typically be separated into three sub-problems Generating partial products Adding the partial products using a redundant

More information

Advanced Computer Architecture-CS501

Advanced Computer Architecture-CS501 Advanced Computer Architecture Lecture No. 34 Reading Material Vincent P. Heuring & Harry F. Jordan Chapter 6 Computer Systems Design and Architecture 6.1, 6.2 Summary Introduction to ALSU Radix Conversion

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

VARUN AGGARWAL

VARUN AGGARWAL ECE 645 PROJECT SPECIFICATION -------------- Design A Microprocessor Functional Unit Able To Perform Multiplication & Division Professor: Students: KRIS GAJ LUU PHAM VARUN AGGARWAL GMU Mar. 2002 CONTENTS

More information

*Instruction Matters: Purdue Academic Course Transformation. Introduction to Digital System Design. Module 4 Arithmetic and Computer Logic Circuits

*Instruction Matters: Purdue Academic Course Transformation. Introduction to Digital System Design. Module 4 Arithmetic and Computer Logic Circuits Purdue IM:PACT* Fall 2018 Edition *Instruction Matters: Purdue Academic Course Transformation Introduction to Digital System Design Module 4 Arithmetic and Computer Logic Circuits Glossary of Common Terms

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

Digital Computer Arithmetic

Digital Computer Arithmetic Digital Computer Arithmetic Part 6 High-Speed Multiplication Soo-Ik Chae Spring 2010 Koren Chap.6.1 Speeding Up Multiplication Multiplication involves 2 basic operations generation of partial products

More information

Improved Design of High Performance Radix-10 Multiplication Using BCD Codes

Improved Design of High Performance Radix-10 Multiplication Using BCD Codes International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Improved Design of High Performance Radix-10 Multiplication Using BCD Codes 1 A. Anusha, 2 C.Ashok Kumar 1 M.Tech

More information

Efficient Radix-10 Multiplication Using BCD Codes

Efficient Radix-10 Multiplication Using BCD Codes Efficient Radix-10 Multiplication Using BCD Codes P.Ranjith Kumar Reddy M.Tech VLSI, Department of ECE, CMR Institute of Technology. P.Navitha Assistant Professor, Department of ECE, CMR Institute of Technology.

More information

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. 16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. AditiPandey* Electronics & Communication,University Institute of Technology,

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

Array Multipliers. Figure 6.9 The partial products generated in a 5 x 5 multiplication. Sec. 6.5

Array Multipliers. Figure 6.9 The partial products generated in a 5 x 5 multiplication. Sec. 6.5 Sec. 6.5 Array Multipliers I'r) 1'8 P7 p6 PS f'4 1'3 1'2 1' 1 "0 Figure 6.9 The partial products generated in a 5 x 5 multiplication. called itemrive arrc.ly multipliers or simply cirruy m~illil>liers.

More information

RADIX-4 AND RADIX-8 MULTIPLIER USING VERILOG HDL

RADIX-4 AND RADIX-8 MULTIPLIER USING VERILOG HDL RADIX-4 AND RADIX-8 MULTIPLIER USING VERILOG HDL P. Thayammal 1, R.Sudhashree 2, G.Rajakumar 3 P.G.Scholar, Department of VLSI, Francis Xavier Engineering College, Tirunelveli 1 P.G.Scholar, Department

More information

D I G I T A L C I R C U I T S E E

D I G I T A L C I R C U I T S E E D I G I T A L C I R C U I T S E E Digital Circuits Basic Scope and Introduction This book covers theory solved examples and previous year gate question for following topics: Number system, Boolean algebra,

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

High Throughput Radix-D Multiplication Using BCD

High Throughput Radix-D Multiplication Using BCD High Throughput Radix-D Multiplication Using BCD Y.Raj Kumar PG Scholar, VLSI&ES, Dept of ECE, Vidya Bharathi Institute of Technology, Janagaon, Warangal, Telangana. Dharavath Jagan, M.Tech Associate Professor,

More information

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals.

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals. Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/C 352 Digital ystem Fundamentals Quiz #2 Thursday, March 7, 22, 7:15--8:3PM 1. (15 points) (a) (5 points) NAND, NOR

More information

A novel technique for fast multiplication

A novel technique for fast multiplication INT. J. ELECTRONICS, 1999, VOL. 86, NO. 1, 67± 77 A novel technique for fast multiplication SADIQ M. SAIT², AAMIR A. FAROOQUI GERHARD F. BECKHOFF and In this paper we present the design of a new high-speed

More information

Study, Implementation and Survey of Different VLSI Architectures for Multipliers

Study, Implementation and Survey of Different VLSI Architectures for Multipliers Study, Implementation and Survey of Different VLSI Architectures for Multipliers Sonam Kandalgaonkar, Prof.K.R.Rasane Department of Electronics and Communication Engineering, VTU University KLE s College

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator

Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator D.S. Vanaja 1, S. Sandeep 2 1 M. Tech scholar in VLSI System Design, Department of ECE, Sri VenkatesaPerumal

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Chapter 3 Part 2 Combinational Logic Design

Chapter 3 Part 2 Combinational Logic Design University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 3 Part 2 Combinational Logic Design Originals by: Charles R. Kime and Tom

More information

Chapter 5 Design and Implementation of a Unified BCD/Binary Adder/Subtractor

Chapter 5 Design and Implementation of a Unified BCD/Binary Adder/Subtractor Chapter 5 Design and Implementation of a Unified BCD/Binary Adder/Subtractor Contents Chapter 5... 74 5.1 Introduction... 74 5.2 Review of Existing Techniques for BCD Addition/Subtraction... 76 5.2.1 One-Digit

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 6b High-Speed Multiplication - II Spring 2017 Koren Part.6b.1 Accumulating the Partial Products After generating partial

More information

Area-Time Efficient Square Architecture

Area-Time Efficient Square Architecture AMSE JOURNALS 2015-Series: Advances D; Vol. 20; N 1; pp 21-34 Submitted March 2015; Revised Sept. 21, 2015; Accepted Oct. 15, 2015 Area-Time Efficient Square Architecture *Ranjan Kumar Barik, **Manoranjan

More information

Paper ID # IC In the last decade many research have been carried

Paper ID # IC In the last decade many research have been carried A New VLSI Architecture of Efficient Radix based Modified Booth Multiplier with Reduced Complexity In the last decade many research have been carried KARTHICK.Kout 1, MR. to reduce S. BHARATH the computation

More information

MULTIPLE OPERAND ADDITION. Multioperand Addition

MULTIPLE OPERAND ADDITION. Multioperand Addition MULTIPLE OPERAND ADDITION Chapter 3 Multioperand Addition Add up a bunch of numbers Used in several algorithms Multiplication, recurrences, transforms, and filters Signed (two s comp) and unsigned Don

More information

A Simple Method to Improve the throughput of A Multiplier

A Simple Method to Improve the throughput of A Multiplier International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 9-16 International Research Publication House http://www.irphouse.com A Simple Method to

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 6b High-Speed Multiplication - II Israel Koren ECE666/Koren Part.6b.1 Accumulating the Partial

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier CHAPTER 3 METHODOLOGY 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier The design analysis starts with the analysis of the elementary algorithm for multiplication by

More information

Numbering Systems. Number Representations Part 1

Numbering Systems. Number Representations Part 1 Introduction Verilog HDL modeling language allows numbers being represented in several radix systems. The underlying circuit processes the number in binary, however, input into and output from such circuits

More information

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems.

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. K. Ram Prakash 1, A.V.Sanju 2 1 Professor, 2 PG scholar, Department of Electronics

More information

Multi-Operand Addition Ivor Page 1

Multi-Operand Addition Ivor Page 1 Multi-Operand Addition 1 Multi-Operand Addition Ivor Page 1 9.1 Motivation The motivation for multi-operand adders comes from the need for innerproduct calculations and multiplication (summing the partial

More information

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Abstract: ARM is one of the most licensed and thus widespread processor

More information

Arithmetic Logic Unit. Digital Computer Design

Arithmetic Logic Unit. Digital Computer Design Arithmetic Logic Unit Digital Computer Design Arithmetic Circuits Arithmetic circuits are the central building blocks of computers. Computers and digital logic perform many arithmetic functions: addition,

More information

Basic Arithmetic (adding and subtracting)

Basic Arithmetic (adding and subtracting) Basic Arithmetic (adding and subtracting) Digital logic to show add/subtract Boolean algebra abstraction of physical, analog circuit behavior 1 0 CPU components ALU logic circuits logic gates transistors

More information

International Journal of Engineering and Techniques - Volume 4 Issue 2, April-2018

International Journal of Engineering and Techniques - Volume 4 Issue 2, April-2018 RESEARCH ARTICLE DESIGN AND ANALYSIS OF RADIX-16 BOOTH PARTIAL PRODUCT GENERATOR FOR 64-BIT BINARY MULTIPLIERS K.Deepthi 1, Dr.T.Lalith Kumar 2 OPEN ACCESS 1 PG Scholar,Dept. Of ECE,Annamacharya Institute

More information

Number System. Introduction. Decimal Numbers

Number System. Introduction. Decimal Numbers Number System Introduction Number systems provide the basis for all operations in information processing systems. In a number system the information is divided into a group of symbols; for example, 26

More information

ECE 30 Introduction to Computer Engineering

ECE 30 Introduction to Computer Engineering ECE 30 Introduction to Computer Engineering Study Problems, Set #6 Spring 2015 1. With x = 1111 1111 1111 1111 1011 0011 0101 0011 2 and y = 0000 0000 0000 0000 0000 0010 1101 0111 2 representing two s

More information

Reducing Computational Time using Radix-4 in 2 s Complement Rectangular Multipliers

Reducing Computational Time using Radix-4 in 2 s Complement Rectangular Multipliers Reducing Computational Time using Radix-4 in 2 s Complement Rectangular Multipliers Y. Latha Post Graduate Scholar, Indur institute of Engineering & Technology, Siddipet K.Padmavathi Associate. Professor,

More information

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93 Combinational Logic Prof. Wangrok Oh Dept. of Information Communications Eng. Chungnam National University Prof. Wangrok Oh(CNU) / 93 Overview Introduction 2 Combinational Circuits 3 Analysis Procedure

More information

Lab 3: Standard Combinational Components

Lab 3: Standard Combinational Components Lab 3: Standard Combinational Components Purpose In this lab you will implement several combinational circuits on the DE1 development board to test and verify their operations. Introduction Using a high-level

More information

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION SUNITH KUMAR BANDI #1, M.VINODH KUMAR *2 # ECE department, M.V.G.R College of Engineering, Vizianagaram, Andhra Pradesh, INDIA. 1 sunithjc@gmail.com

More information

High Speed Special Function Unit for Graphics Processing Unit

High Speed Special Function Unit for Graphics Processing Unit High Speed Special Function Unit for Graphics Processing Unit Abd-Elrahman G. Qoutb 1, Abdullah M. El-Gunidy 1, Mohammed F. Tolba 1, and Magdy A. El-Moursy 2 1 Electrical Engineering Department, Fayoum

More information

Chapter 3: part 3 Binary Subtraction

Chapter 3: part 3 Binary Subtraction Chapter 3: part 3 Binary Subtraction Iterative combinational circuits Binary adders Half and full adders Ripple carry and carry lookahead adders Binary subtraction Binary adder-subtractors Signed binary

More information

Integer Multipliers 1

Integer Multipliers 1 Integer Multipliers Multipliers A must have circuit in most S applications A variety of multipliers exists that can be chosen based on their performance Serial, Serial/arallel,Shift and Add, Array, ooth,

More information

Binary Adders. Ripple-Carry Adder

Binary Adders. Ripple-Carry Adder Ripple-Carry Adder Binary Adders x n y n x y x y c n FA c n - c 2 FA c FA c s n MSB position Longest delay (Critical-path delay): d c(n) = n d carry = 2n gate delays d s(n-) = (n-) d carry +d sum = 2n

More information

Principles of Computer Architecture. Chapter 3: Arithmetic

Principles of Computer Architecture. Chapter 3: Arithmetic 3-1 Chapter 3 - Arithmetic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Chapter 3: Arithmetic 3-2 Chapter 3 - Arithmetic 3.1 Overview Chapter Contents 3.2 Fixed Point Addition

More information

Number Systems. Readings: , Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs

Number Systems. Readings: , Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs Number Systems Readings: 3-3.3.3, 3.3.5 Problem: Implement simple pocket calculator Need: Display, adders & subtractors, inputs Display: Seven segment displays Inputs: Switches Missing: Way to implement

More information

ECE 341 Midterm Exam

ECE 341 Midterm Exam ECE 341 Midterm Exam Time allowed: 90 minutes Total Points: 75 Points Scored: Name: Problem No. 1 (10 points) For each of the following statements, indicate whether the statement is TRUE or FALSE: (a)

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

IA Digital Electronics - Supervision I

IA Digital Electronics - Supervision I IA Digital Electronics - Supervision I Nandor Licker Due noon two days before the supervision 1 Overview The goal of this exercise is to design an 8-digit calculator capable of adding

More information

Improved Combined Binary/Decimal Fixed-Point Multipliers

Improved Combined Binary/Decimal Fixed-Point Multipliers Improved Combined Binary/Decimal Fixed-Point Multipliers Brian Hickmann and Michael Schulte University of Wisconsin - Madison Dept. of Electrical and Computer Engineering Madison, WI 53706 brian@hickmann.us

More information

CS6303 COMPUTER ARCHITECTURE LESSION NOTES UNIT II ARITHMETIC OPERATIONS ALU In computing an arithmetic logic unit (ALU) is a digital circuit that performs arithmetic and logical operations. The ALU is

More information

VLSI Design Of a Novel Pre Encoding Multiplier Using DADDA Multiplier. Guntur(Dt),Pin:522017

VLSI Design Of a Novel Pre Encoding Multiplier Using DADDA Multiplier. Guntur(Dt),Pin:522017 VLSI Design Of a Novel Pre Encoding Multiplier Using DADDA Multiplier 1 Katakam Hemalatha,(M.Tech),Email Id: hema.spark2011@gmail.com 2 Kundurthi Ravi Kumar, M.Tech,Email Id: kundurthi.ravikumar@gmail.com

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

CS Computer Architecture. 1. Explain Carry Look Ahead adders in detail

CS Computer Architecture. 1. Explain Carry Look Ahead adders in detail 1. Explain Carry Look Ahead adders in detail A carry-look ahead adder (CLA) is a type of adder used in digital logic. A carry-look ahead adder improves speed by reducing the amount of time required to

More information

Control and Datapath 8

Control and Datapath 8 Control and Datapath 8 Engineering attempts to develop design methods that break a problem up into separate steps to simplify the design and increase the likelihood of a correct solution. Digital system

More information

HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG

HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG HIGH SPEED SINGLE PRECISION FLOATING POINT UNIT IMPLEMENTATION USING VERILOG 1 C.RAMI REDDY, 2 O.HOMA KESAV, 3 A.MAHESWARA REDDY 1 PG Scholar, Dept of ECE, AITS, Kadapa, AP-INDIA. 2 Asst Prof, Dept of

More information

CS 5803 Introduction to High Performance Computer Architecture: Arithmetic Logic Unit. A.R. Hurson 323 CS Building, Missouri S&T

CS 5803 Introduction to High Performance Computer Architecture: Arithmetic Logic Unit. A.R. Hurson 323 CS Building, Missouri S&T CS 5803 Introduction to High Performance Computer Architecture: Arithmetic Logic Unit A.R. Hurson 323 CS Building, Missouri S&T hurson@mst.edu 1 Outline Motivation Design of a simple ALU How to design

More information

Jan Rabaey Homework # 7 Solutions EECS141

Jan Rabaey Homework # 7 Solutions EECS141 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on March 30, 2004 by Gang Zhou (zgang@eecs.berkeley.edu) Jan Rabaey Homework # 7

More information

Modified Booth Encoder Comparative Analysis

Modified Booth Encoder Comparative Analysis Modified Booth Encoder Comparative Analysis 1 Dinesh C Karen, 2 Nabila Shaikh 1 M. E. Scholar, 2 Associated professor LJIET, Gujarat Technological University-Ahmedabad 1 dinesh_492@yahoo.co.in, 2 shaikh.nabila@yahoo.com

More information

Two-Level CLA for 4-bit Adder. Two-Level CLA for 4-bit Adder. Two-Level CLA for 16-bit Adder. A Closer Look at CLA Delay

Two-Level CLA for 4-bit Adder. Two-Level CLA for 4-bit Adder. Two-Level CLA for 16-bit Adder. A Closer Look at CLA Delay Two-Level CLA for 4-bit Adder Individual carry equations C 1 = g 0 +p 0, C 2 = g 1 +p 1 C 1,C 3 = g 2 +p 2 C 2, = g 3 +p 3 C 3 Fully expanded (infinite hardware) CLA equations C 1 = g 0 +p 0 C 2 = g 1

More information

ECE 341 Midterm Exam

ECE 341 Midterm Exam ECE 341 Midterm Exam Time allowed: 90 minutes Total Points: 75 Points Scored: Name: Problem No. 1 (11 points) For parts (a) through (d), indicate whether the statement is TRUE or FALSE. For parts (e) and

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR

HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR R. Alwin [1] S. Anbu Vallal [2] I. Angel [3] B. Benhar Silvan [4] V. Jai Ganesh [5] 1 Assistant Professor, 2,3,4,5 Student Members Department of Electronics

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

Computer Organization and Levels of Abstraction

Computer Organization and Levels of Abstraction Computer Organization and Levels of Abstraction Announcements Today: PS 7 Lab 8: Sound Lab tonight bring machines and headphones! PA 7 Tomorrow: Lab 9 Friday: PS8 Today (Short) Floating point review Boolean

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

OPTIMIZATION OF AREA COMPLEXITY AND DELAY USING PRE-ENCODED NR4SD MULTIPLIER.

OPTIMIZATION OF AREA COMPLEXITY AND DELAY USING PRE-ENCODED NR4SD MULTIPLIER. OPTIMIZATION OF AREA COMPLEXITY AND DELAY USING PRE-ENCODED NR4SD MULTIPLIER. A.Anusha 1 R.Basavaraju 2 anusha201093@gmail.com 1 basava430@gmail.com 2 1 PG Scholar, VLSI, Bharath Institute of Engineering

More information

CAD4 The ALU Fall 2009 Assignment. Description

CAD4 The ALU Fall 2009 Assignment. Description CAD4 The ALU Fall 2009 Assignment To design a 16-bit ALU which will be used in the datapath of the microprocessor. This ALU must support two s complement arithmetic and the instructions in the baseline

More information

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates. Invitation to Computer Science, C++ Version, Third Edition

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates. Invitation to Computer Science, C++ Version, Third Edition Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Invitation to Computer Science, C++ Version, Third Edition Objectives In this chapter, you will learn about: The binary numbering

More information

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 8, Issue 5, September-October 2017, pp. 1 6, Article ID: IJECET_08_05_001 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=8&itype=5

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNIC Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 4. LECTURE: COMBINATIONAL LOGIC DEIGN: ARITHMETIC (THROUGH EXAMPLE) 2nd (Autumn) term 28/29 COMBINATIONAL LOGIC

More information

Lecture 6: Signed Numbers & Arithmetic Circuits. BCD (Binary Coded Decimal) Points Addressed in this Lecture

Lecture 6: Signed Numbers & Arithmetic Circuits. BCD (Binary Coded Decimal) Points Addressed in this Lecture Points ddressed in this Lecture Lecture 6: Signed Numbers rithmetic Circuits Professor Peter Cheung Department of EEE, Imperial College London (Floyd 2.5-2.7, 6.1-6.7) (Tocci 6.1-6.11, 9.1-9.2, 9.4) Representing

More information

Efficient Design of Radix Booth Multiplier

Efficient Design of Radix Booth Multiplier Efficient Design of Radix Booth Multiplier 1Head and Associate professor E&TC Department, Pravara Rural Engineering College Loni 2ME E&TC Engg, Pravara Rural Engineering College Loni --------------------------------------------------------------------------***----------------------------------------------------------------------------

More information

A New Family of High Performance Parallel Decimal Multipliers

A New Family of High Performance Parallel Decimal Multipliers A New Family of High Performance Parallel Decimal Multipliers Alvaro Vázquez, Elisardo Antelo University of Santiago de Compostela Dept. of Electronic and Computer Science 15782 Santiago de Compostela,

More information

A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA

A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA Chandana Pittala 1, Devadas Matta 2 PG Scholar.VLSI System Design 1, Asst. Prof. ECE Dept. 2, Vaagdevi College of Engineering,Warangal,India.

More information

High speed Integrated Circuit Hardware Description Language), RTL (Register transfer level). Abstract:

High speed Integrated Circuit Hardware Description Language), RTL (Register transfer level). Abstract: based implementation of 8-bit ALU of a RISC processor using Booth algorithm written in VHDL language Paresh Kumar Pasayat, Manoranjan Pradhan, Bhupesh Kumar Pasayat Abstract: This paper explains the design

More information

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library 3.1 Combinational Circuits 2 Chap 3. logic circuits for digital systems: combinational vs sequential Combinational Logic Design Combinational Circuit (Chap 3) outputs are determined by the present applied

More information

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator A.Sindhu 1, K.PriyaMeenakshi 2 PG Student [VLSI], Dept. of ECE, Muthayammal Engineering College, Rasipuram, Tamil Nadu,

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information