Shengyue Wang, Xiaoru Dai, Kiran S. Yellajyosula, Antonia Zhai, Pen-Chung Yew Department of Computer Science & Engineering University of Minnesota

Size: px
Start display at page:

Download "Shengyue Wang, Xiaoru Dai, Kiran S. Yellajyosula, Antonia Zhai, Pen-Chung Yew Department of Computer Science & Engineering University of Minnesota"

Transcription

1 Loop Selection for Thread-Level Speculation, Xiaoru Dai, Kiran S. Yellajyosula, Antonia Zhai, Pen-Chung Yew Department of Computer Science & Engineering University of Minnesota

2 Chip Multiprocessors (CMPs) IBM Power5 CMPs: IBM Power5 Sun Niagara Intel dual-core Xeon AMD dual-core Opteron Proc Proc Proc Cache Improve program performance with parallel threads

3 Thread-Level Speculation (TLS) Automatic parallelization is difficult Ambiguous data dependences Complex control flow TLS facilitates automatic parallelization by: Executing potentially dependent threads in parallel Preserving data dependences via runtime checking Where do we find speculative parallel threads?

4 Parallelizing Loops under TLS Loops are good candidates for parallelism Regular structure Significant coverage on dynamic execution time General purpose applications are complicated Facts about SPECINT 2000 Average number of loops: 714 Average dynamic loop nesting: 8 Loop selection: which loops should be parallelized?

5 Potential of Loop Selection Outer loop Inner loop Best 100% program speedup 80% 60% 40% 20% 0% -20% -40% mcf crafty twolf gzip bzip2 vortex vpr parser gap gcc perlbmk Carefully selected loops can improve performance significantly!

6 Outline Loop selection Algorithm Parallel performance prediction Dynamic loop behavior Conclusions

7 Loop Nesting main( ) { while ( condition1 ) { while ( condition2 ) { foo( ); goo( ); foo( ) { while ( condition3 ) { goo( ); goo( ) { while ( condition4 ) { Source code foo_loop1 main_loop1 main_loop2 goo_loop1 Loop graph : static loop : nesting relationship

8 Benefit of Parallelizing a Single Loop 13% main_loop1 Coverage Loop Speedup Benefit 5% foo_loop1 main_loop2 goo_loop1 20% 18% 80% % 70% % 30% 1.2 5% 50% % benefit = % program execution time saved = coverage (1 1 / loop speedup) Program speedup = 1 / (1 - benefit) = 1.25

9 Loop Selection: Problem Definition Goal: Select the set of loops that maximizes the overall program performance when parallelized Constraint: The set cannot contain loops with nesting relationship Loop selection is NP-complete! Weighted maximum independent set

10 Loop Selection: Algorithm Exhaustive search ( 50 nodes) Try all possible combinations of loops Greedy algorithm (> 50 nodes) In descending order of benefit Check for nesting relation Add the loop to the set if no nesting Average number of loops for SPECINT 2000: 714

11 Loop Pruning loop1 loop2 loop3 loop4 loop5 loop6 loop7 loop8 Only resort to greedy algorithm for gcc and parser

12 Benefit of Parallelizing a Single Loop 13% main_loop1 Coverage Speedup Benefit 5% foo_loop1 main_loop2 20% 80% % 70% % 30% 1.2 5% 50% % 18% goo_loop1 Loop graph How can we estimate the speedup?

13 Outline Loop selection Algorithm Parallel performance prediction Dynamic loop behavior Conclusions

14 Estimating Parallel Performance Communicating value between speculative threads adds significant overhead to parallel execution Synchronization: Resolves frequently occurring data dependences Speculation: Resolves infrequently occurring data dependences Estimating communication costs with the compiler

15 Cost of Mis-speculation T1 T2 load Amount of work wasted store Cost of mis-speculation = amount of work wasted prob. of mis-speculation

16 Cost of Mis-speculation T1 T2 Sequential part store load Amount of work wasted Cost of mis-speculation = amount of work wasted prob. of mis-speculation

17 Synchronization T1 T2 store load Synchronization serializes parallel execution

18 Cost of Synchronization Est. I Est. II Est. III T1 T2 T1 T2 T1 T2 store2 load1 store1 load2 store1 load1 store1 load1 Synchronization Cost = # of dependent instructions Synchronization Cost = longest stall Synchronization Cost = longest stall based on dependent instructions

19 Experimental Framework Machine model 4 single-issue in-order processors Private L1 data cache (32K, 2-way, 1 cycle) Shared L2 data cache (2M, 4-way, 10 cycles) Speculation support (write buffer, address buffer) Synchronization support (comm. buffer, 10 cycles) Compiler optimizations using ORC 2.1 Instruction scheduling to improve parallelism

20 Comparison: Speedup Estimation Techniques program speedup 100% 80% 60% 40% 20% 0% -20% mcf crafty twolf gzip bzip2 vortex vpr parser gap gcc perlbmk Est. I Est. II Est. III Perfect coverage -40% 100% Average program speedup: 20%, coverage: 70% 80% 60% 40% 40% 20% 20% 0% 0% Shengyue mcf Wangcrafty twolf gzip bzip2 vortex vpr parser gap gcc perlbmk mcf crafty twolf gzip bzip2 vortex vpr parser gap gcc perlbmk Est. I I Est. Est. II II Est. III Est. III Perf ect Perf ect

21 Outline Loop selection Algorithm Parallel performance prediction Dynamic loop behavior Conclusions

22 Loop Behavior May Change main( ) { while ( condition1 ) { while ( condition2 ) { foo( ); goo( ); foo( ) { while ( condition3 ) { goo( ); goo( ) { while ( condition4 ) { Source code foo_loop1 goo_loop1_a main_loop1 main_loop2 Loop tree goo_loop1_b Calling context of a loop: the path from the root to that loop

23 Loop Selection in a Tree 13% main_loop1 20% main_loop2 5% foo_loop1 goo_loop1 is parallelized only when it is reached from main_loop2-2% 18% goo_loop1_a goo_loop1_b Loop cloning can be used

24 Loop Behavior May Change main_loop1 foo_loop1 foo_loop1 only parallelize the loop in these invocations goo_loop1_a goo_loop1_b Exploit loop behavior dynamically

25 Potential of Exploiting Dynamic Behavior 100% 80% 60% No Context Calling Context Oracle 40% 20% mcf mcf crafty crafty 0% twolf twolf gzip gzip bzip2 bzip2 vortex vortex vpr vpr parser parser gap gap gcc gcc perlbmk perlbmk 100% 80% 60% 5 out of 11 benchmarks show performance potential No Context Calling Context Oracle 40% 20% 0% mcf mcf crafty crafty twolf twolf gzip gzip bzip2 bzip2 vortex vortex vpr vpr parser parser gap gap gcc gcc perlbmk perlbmk coverage program speedup

26 Conclusions Loop selection is important for TLS Compiler-based loop selection Speedup 20%, Coverage 70% Exploiting dynamic behavior offers performance potential

27 Thank You!

POSH: A TLS Compiler that Exploits Program Structure

POSH: A TLS Compiler that Exploits Program Structure POSH: A TLS Compiler that Exploits Program Structure Wei Liu, James Tuck, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau and Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign

More information

Loop Selection for Thread-Level Speculation

Loop Selection for Thread-Level Speculation Loop Selection for Thread-Level Speculation Shengyue Wang, Xiaoru Dai, Kiran S Yellajyosula Antonia Zhai, Pen-Chung Yew Department of Computer Science University of Minnesota {shengyue, dai, kiran, zhai,

More information

Loop Selection for Thread-Level Speculation

Loop Selection for Thread-Level Speculation Loop Selection for Thread-Level Speculation Shengyue Wang, Xiaoru Dai, Kiran S. Yellajyosula, Antonia Zhai, Pen-Chung Yew Department of Computer Science University of Minnesota {shengyue, dai, kiran, zhai,

More information

UNIVERSITY OF MINNESOTA. Shengyue Wang

UNIVERSITY OF MINNESOTA. Shengyue Wang UNIVERSITY OF MINNESOTA This is to certify that I have examined this copy of a doctoral thesis by Shengyue Wang and have found that it is complete and satisfactory in all respects, and that any and all

More information

Speculative Multithreaded Processors

Speculative Multithreaded Processors Guri Sohi and Amir Roth Computer Sciences Department University of Wisconsin-Madison utline Trends and their implications Workloads for future processors Program parallelization and speculative threads

More information

Efficient Architecture Support for Thread-Level Speculation

Efficient Architecture Support for Thread-Level Speculation Efficient Architecture Support for Thread-Level Speculation A THESIS SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Venkatesan Packirisamy IN PARTIAL FULFILLMENT OF THE

More information

Execution-based Prediction Using Speculative Slices

Execution-based Prediction Using Speculative Slices Execution-based Prediction Using Speculative Slices Craig Zilles and Guri Sohi University of Wisconsin - Madison International Symposium on Computer Architecture July, 2001 The Problem Two major barriers

More information

Bumyong Choi Leo Porter Dean Tullsen University of California, San Diego ASPLOS

Bumyong Choi Leo Porter Dean Tullsen University of California, San Diego ASPLOS Bumyong Choi Leo Porter Dean Tullsen University of California, San Diego ASPLOS 2008 1 Multi-core proposals take advantage of additional cores to improve performance, power, and/or reliability Moving towards

More information

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Huiyang Zhou School of Computer Science University of Central Florida New Challenges in Billion-Transistor Processor Era

More information

Chip-Multithreading Systems Need A New Operating Systems Scheduler

Chip-Multithreading Systems Need A New Operating Systems Scheduler Chip-Multithreading Systems Need A New Operating Systems Scheduler Alexandra Fedorova Christopher Small Daniel Nussbaum Margo Seltzer Harvard University, Sun Microsystems Sun Microsystems Sun Microsystems

More information

Microarchitecture Overview. Performance

Microarchitecture Overview. Performance Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 18, 2005 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make

More information

Microarchitecture Overview. Performance

Microarchitecture Overview. Performance Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 15, 2007 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make

More information

Speculative Multithreaded Processors

Speculative Multithreaded Processors Guri Sohi and Amir Roth Computer Sciences Department University of Wisconsin-Madison utline Trends and their implications Workloads for future processors Program parallelization and speculative threads

More information

Dynamic Performance Tuning for Speculative Threads

Dynamic Performance Tuning for Speculative Threads Dynamic Performance Tuning for Speculative Threads Yangchun Luo, Venkatesan Packirisamy, Nikhil Mungre, Ankit Tarkas, Wei-Chung Hsu, and Antonia Zhai Dept. of Computer Science and Engineering Dept. of

More information

A Cost Effective Spatial Redundancy with Data-Path Partitioning. Shigeharu Matsusaka and Koji Inoue Fukuoka University Kyushu University/PREST

A Cost Effective Spatial Redundancy with Data-Path Partitioning. Shigeharu Matsusaka and Koji Inoue Fukuoka University Kyushu University/PREST A Cost Effective Spatial Redundancy with Data-Path Partitioning Shigeharu Matsusaka and Koji Inoue Fukuoka University Kyushu University/PREST 1 Outline Introduction Data-path Partitioning for a dependable

More information

Exploring Speculative Parallelism in SPEC2006

Exploring Speculative Parallelism in SPEC2006 Exploring Speculative Parallelism in SPEC2006 Venkatesan Packirisamy, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew and Tin-Fook Ngai University of Minnesota, Minneapolis. Intel Corporation {packve,zhai,hsu,yew}@cs.umn.edu

More information

Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective

Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew and Tin-Fook

More information

Inlining Java Native Calls at Runtime

Inlining Java Native Calls at Runtime Inlining Java Native Calls at Runtime (CASCON 2005 4 th Workshop on Compiler Driven Performance) Levon Stepanian, Angela Demke Brown Computer Systems Group Department of Computer Science, University of

More information

SEED: A Statically-Greedy and Dynamically-Adaptive Approach for Speculative Loop Execution

SEED: A Statically-Greedy and Dynamically-Adaptive Approach for Speculative Loop Execution 1 SEED: A Statically-Greedy and Dynamically-Adaptive Approach for Speculative Loop Execution Lin Gao, Lian Li, Jingling Xue, Senior Member, IEEE, and Pen-Chung Yew, Fellow, IEEE Abstract Research on compiler

More information

Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective. Technical Report

Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective. Technical Report Efficiency of Thread-Level Speculation in SMT and CMP Architectures - Performance, Power and Thermal Perspective Technical Report Department of Computer Science and Engineering University of Minnesota

More information

Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads

Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads Antonia Zhai, Christopher B. Colohan, J. Grery Steffan, and Todd C. Mowry School of Computer Science Carnegie Mellon

More information

15-740/ Computer Architecture Lecture 10: Runahead and MLP. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 10: Runahead and MLP. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 10: Runahead and MLP Prof. Onur Mutlu Carnegie Mellon University Last Time Issues in Out-of-order execution Buffer decoupling Register alias tables Physical

More information

CSE 502 Graduate Computer Architecture. Lec 11 Simultaneous Multithreading

CSE 502 Graduate Computer Architecture. Lec 11 Simultaneous Multithreading CSE 502 Graduate Computer Architecture Lec 11 Simultaneous Multithreading Larry Wittie Computer Science, StonyBrook University http://www.cs.sunysb.edu/~cse502 and ~lw Slides adapted from David Patterson,

More information

Supporting Speculative Multithreading on Simultaneous Multithreaded Processors

Supporting Speculative Multithreading on Simultaneous Multithreaded Processors Supporting Speculative Multithreading on Simultaneous Multithreaded Processors Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, and Pen-Chung Yew Department of Computer Science, University

More information

José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2

José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2 CHERRY: CHECKPOINTED EARLY RESOURCE RECYCLING José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2 1 2 3 MOTIVATION Problem: Limited processor resources Goal: More

More information

Kismet: Parallel Speedup Estimates for Serial Programs

Kismet: Parallel Speedup Estimates for Serial Programs Kismet: Parallel Speedup Estimates for Serial Programs Donghwan Jeon, Saturnino Garcia, Chris Louie, and Michael Bedford Taylor Computer Science and Engineering University of California, San Diego 1 Questions

More information

An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 Benchmarks

An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 Benchmarks An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 s Joshua J. Yi and David J. Lilja Department of Electrical and Computer Engineering Minnesota Supercomputing

More information

Breaking Cyclic-Multithreading Parallelization with XML Parsing. Simone Campanoni, Svilen Kanev, Kevin Brownell Gu-Yeon Wei, David Brooks

Breaking Cyclic-Multithreading Parallelization with XML Parsing. Simone Campanoni, Svilen Kanev, Kevin Brownell Gu-Yeon Wei, David Brooks Breaking Cyclic-Multithreading Parallelization with XML Parsing Simone Campanoni, Svilen Kanev, Kevin Brownell Gu-Yeon Wei, David Brooks 0 / 21 Scope Today s commodity platforms include multiple cores

More information

Bloom Filtering Cache Misses for Accurate Data Speculation and Prefetching

Bloom Filtering Cache Misses for Accurate Data Speculation and Prefetching Bloom Filtering Cache Misses for Accurate Data Speculation and Prefetching Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai peir@cise.ufl.edu Computer & Information Science and Engineering

More information

Simple and Efficient Construction of Static Single Assignment Form

Simple and Efficient Construction of Static Single Assignment Form Simple and Efficient Construction of Static Single Assignment Form saarland university Matthias Braun, Sebastian Buchwald, Sebastian Hack, Roland Leißa, Christoph Mallon and Andreas Zwinkau computer science

More information

Wish Branch: A New Control Flow Instruction Combining Conditional Branching and Predicated Execution

Wish Branch: A New Control Flow Instruction Combining Conditional Branching and Predicated Execution Wish Branch: A New Control Flow Instruction Combining Conditional Branching and Predicated Execution Hyesoon Kim Onur Mutlu Jared Stark David N. Armstrong Yale N. Patt High Performance Systems Group Department

More information

Heuristics for Profile-driven Method- level Speculative Parallelization

Heuristics for Profile-driven Method- level Speculative Parallelization Heuristics for Profile-driven Method- level John Whaley and Christos Kozyrakis Stanford University Speculative Multithreading Speculatively parallelize an application Uses speculation to overcome ambiguous

More information

On the Predictability of Program Behavior Using Different Input Data Sets

On the Predictability of Program Behavior Using Different Input Data Sets On the Predictability of Program Behavior Using Different Input Data Sets Wei Chung Hsu, Howard Chen, Pen Chung Yew Department of Computer Science University of Minnesota Abstract Smaller input data sets

More information

An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors

An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors Onur Mutlu Hyesoon Kim David N. Armstrong Yale N. Patt High Performance Systems Group

More information

Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation

Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation Tasking with Out-of-Order in TLS Chip Multiprocessors: Microarchitecture and Compilation Jose Renau James Tuck Wei Liu Luis Ceze Karin Strauss Josep Torrellas Dept. of Computer Engineering, University

More information

Detecting Global Stride Locality in Value Streams

Detecting Global Stride Locality in Value Streams Detecting Global Stride Locality in Value Streams Huiyang Zhou, Jill Flanagan, Thomas M. Conte TINKER Research Group Department of Electrical & Computer Engineering North Carolina State University 1 Introduction

More information

Low-Complexity Reorder Buffer Architecture*

Low-Complexity Reorder Buffer Architecture* Low-Complexity Reorder Buffer Architecture* Gurhan Kucuk, Dmitry Ponomarev, Kanad Ghose Department of Computer Science State University of New York Binghamton, NY 13902-6000 http://www.cs.binghamton.edu/~lowpower

More information

Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation

Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation Tasking with Out-of-Order in TLS Chip Multiprocessors: Microarchitecture and Compilation Jose Renau James Tuck Wei Liu Luis Ceze Karin Strauss Josep Torrellas Abstract Dept. of Computer Engineering, University

More information

Effective Memory Protection Using Dynamic Tainting

Effective Memory Protection Using Dynamic Tainting Effective Memory Protection Using Dynamic Tainting James Clause Alessandro Orso (software) and Ioanis Doudalis Milos Prvulovic (hardware) College of Computing Georgia Institute of Technology Supported

More information

Outline. Speculative Register Promotion Using Advanced Load Address Table (ALAT) Motivation. Motivation Example. Motivation

Outline. Speculative Register Promotion Using Advanced Load Address Table (ALAT) Motivation. Motivation Example. Motivation Speculative Register Promotion Using Advanced Load Address Table (ALAT Jin Lin, Tong Chen, Wei-Chung Hsu, Pen-Chung Yew http://www.cs.umn.edu/agassiz Motivation Outline Scheme of speculative register promotion

More information

Architecture Cloning For PowerPC Processors. Edwin Chan, Raul Silvera, Roch Archambault IBM Toronto Lab Oct 17 th, 2005

Architecture Cloning For PowerPC Processors. Edwin Chan, Raul Silvera, Roch Archambault IBM Toronto Lab Oct 17 th, 2005 Architecture Cloning For PowerPC Processors Edwin Chan, Raul Silvera, Roch Archambault edwinc@ca.ibm.com IBM Toronto Lab Oct 17 th, 2005 Outline Motivation Implementation Details Results Scenario Previously,

More information

Koji Inoue Department of Informatics, Kyushu University Japan Science and Technology Agency

Koji Inoue Department of Informatics, Kyushu University Japan Science and Technology Agency Lock and Unlock: A Data Management Algorithm for A Security-Aware Cache Department of Informatics, Japan Science and Technology Agency ICECS'06 1 Background (1/2) Trusted Program Malicious Program Branch

More information

Topics on Compilers Spring Semester Christine Wagner 2011/04/13

Topics on Compilers Spring Semester Christine Wagner 2011/04/13 Topics on Compilers Spring Semester 2011 Christine Wagner 2011/04/13 Availability of multicore processors Parallelization of sequential programs for performance improvement Manual code parallelization:

More information

Aries: Transparent Execution of PA-RISC/HP-UX Applications on IPF/HP-UX

Aries: Transparent Execution of PA-RISC/HP-UX Applications on IPF/HP-UX Aries: Transparent Execution of PA-RISC/HP-UX Applications on IPF/HP-UX Keerthi Bhushan Rajesh K Chaurasia Hewlett-Packard India Software Operations 29, Cunningham Road Bangalore 560 052 India +91-80-2251554

More information

Speculative Parallelization Using State Separation and Multiple Value Prediction

Speculative Parallelization Using State Separation and Multiple Value Prediction Speculative Parallelization Using State Separation and Multiple Value Prediction Chen Tian, Min Feng, Rajiv Gupta University of California, CSE Department, Riverside, CA, 92521 {tianc, mfeng, gupta}@cs.ucr.edu

More information

Exploiting Thread-Level Speculative Parallelism with Software Value Prediction

Exploiting Thread-Level Speculative Parallelism with Software Value Prediction Exploiting Thread-Level Speculative Parallelism with Software Value Prediction Abstract Software value prediction (SVP) is an effective and powerful compilation technique helping to expose thread-level

More information

Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors. Moinuddin K. Qureshi Onur Mutlu Yale N.

Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors. Moinuddin K. Qureshi Onur Mutlu Yale N. Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors Moinuddin K. Qureshi Onur Mutlu Yale N. Patt High Performance Systems Group Department of Electrical

More information

Integrated CPU and Cache Power Management in Multiple Clock Domain Processors

Integrated CPU and Cache Power Management in Multiple Clock Domain Processors Integrated CPU and Cache Power Management in Multiple Clock Domain Processors Nevine AbouGhazaleh, Bruce Childers, Daniel Mossé & Rami Melhem Department of Computer Science University of Pittsburgh HiPEAC

More information

Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory

Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory Rei Odaira Takuya Nakaike IBM Research Tokyo Thread-Level Speculation (TLS) [Franklin et al., 92] or Speculative Multithreading (SpMT)

More information

High Performance Systems Group Department of Electrical and Computer Engineering The University of Texas at Austin Austin, Texas

High Performance Systems Group Department of Electrical and Computer Engineering The University of Texas at Austin Austin, Texas Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths yesoon Kim José. Joao Onur Mutlu Yale N. Patt igh Performance Systems Group

More information

Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure

Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure Oguz Ergin*, Deniz Balkan, Kanad Ghose, Dmitry Ponomarev Department of Computer Science State University of New York

More information

POSH: A TLS Compiler that Exploits Program Structure

POSH: A TLS Compiler that Exploits Program Structure POSH: A TLS Compiler that Exploits Program Structure Wei Liu James Tuck Luis Ceze Wonsun Ahn Karin Strauss Jose Renau Josep Torrellas Department of Computer Science Computer Engineering Department University

More information

Min-Cut Program Decomposition for Thread-Level Speculation

Min-Cut Program Decomposition for Thread-Level Speculation Min-Cut Program Decomposition for Thread-Level Speculation Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar {troyj, eigenman, vijay}@ecn.purdue.edu School of Electrical and Computer Engineering Purdue

More information

Which is the best? Measuring & Improving Performance (if planes were computers...) An architecture example

Which is the best? Measuring & Improving Performance (if planes were computers...) An architecture example 1 Which is the best? 2 Lecture 05 Performance Metrics and Benchmarking 3 Measuring & Improving Performance (if planes were computers...) Plane People Range (miles) Speed (mph) Avg. Cost (millions) Passenger*Miles

More information

Decoupling Dynamic Information Flow Tracking with a Dedicated Coprocessor

Decoupling Dynamic Information Flow Tracking with a Dedicated Coprocessor Decoupling Dynamic Information Flow Tracking with a Dedicated Coprocessor Hari Kannan, Michael Dalton, Christos Kozyrakis Computer Systems Laboratory Stanford University Motivation Dynamic analysis help

More information

Impact of Cache Coherence Protocols on the Processing of Network Traffic

Impact of Cache Coherence Protocols on the Processing of Network Traffic Impact of Cache Coherence Protocols on the Processing of Network Traffic Amit Kumar and Ram Huggahalli Communication Technology Lab Corporate Technology Group Intel Corporation 12/3/2007 Outline Background

More information

CS229 Project: TLS, using Learning to Speculate

CS229 Project: TLS, using Learning to Speculate CS229 Project: TLS, using Learning to Speculate Jiwon Seo Dept. of Electrical Engineering jiwon@stanford.edu Sang Kyun Kim Dept. of Electrical Engineering skkim38@stanford.edu ABSTRACT We apply machine

More information

Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors

Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors Ilya Ganusov and Martin Burtscher Computer Systems Laboratory Cornell University {ilya, burtscher}@csl.cornell.edu Abstract This

More information

ProtoFlex Tutorial: Full-System MP Simulations Using FPGAs

ProtoFlex Tutorial: Full-System MP Simulations Using FPGAs rotoflex Tutorial: Full-System M Simulations Using FGAs Eric S. Chung, Michael apamichael, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai ROTOFLEX Computer Architecture Lab at Our work in this

More information

Function Outlining and Partial Inlining

Function Outlining and Partial Inlining Function Outlining and Partial Inlining Peng Zhao IBM Toronto Laboratory Markham, ON, Canada José Nelson Amaral Department of Computing Science, University of Alberta, Edmonton, Canada Abstract Frequently

More information

Many Cores, One Thread: Dean Tullsen University of California, San Diego

Many Cores, One Thread: Dean Tullsen University of California, San Diego Many Cores, One Thread: The Search for Nontraditional Parallelism University of California, San Diego There are some domains that feature nearly unlimited parallelism. Others, not so much Moore s Law and

More information

CAVA: Using Checkpoint-Assisted Value Prediction to Hide L2 Misses

CAVA: Using Checkpoint-Assisted Value Prediction to Hide L2 Misses CAVA: Using Checkpoint-Assisted Value Prediction to Hide L2 Misses Luis Ceze, Karin Strauss, James Tuck, Jose Renau and Josep Torrellas University of Illinois at Urbana-Champaign {luisceze, kstrauss, jtuck,

More information

Efficient Locality Approximation from Time

Efficient Locality Approximation from Time Efficient Locality Approximation from Time Xipeng Shen The College of William and Mary Joint work with Jonathan Shaw at Shaw Technologies Inc., Tualatin, OR Locality is Important Traditional reasons: memory

More information

Automatic Selection of Compiler Options Using Non-parametric Inferential Statistics

Automatic Selection of Compiler Options Using Non-parametric Inferential Statistics Automatic Selection of Compiler Options Using Non-parametric Inferential Statistics Masayo Haneda Peter M.W. Knijnenburg Harry A.G. Wijshoff LIACS, Leiden University Motivation An optimal compiler optimization

More information

EECS 583 Class 16 Research Topic 1 Automatic Parallelization

EECS 583 Class 16 Research Topic 1 Automatic Parallelization EECS 583 Class 16 Research Topic 1 Automatic Parallelization University of Michigan November 7, 2012 Announcements + Reading Material Midterm exam: Mon Nov 19 in class (Next next Monday)» I will post 2

More information

Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference

Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference The 2017 IEEE International Symposium on Workload Characterization Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference Shin-Ying Lee

More information

2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set

2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set 2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set Hyesoon Kim M. Aater Suleman Onur Mutlu Yale N. Patt Department of Electrical and Computer Engineering University of Texas

More information

IBM Memory Expansion Technology

IBM Memory Expansion Technology By: Jeromie LaVoie Marist College Computer Architecture 507L256 Instructor: David Meck Note: Jeromie wrote this review paper as his homework IBM is not responsible for it s contents Table Of Contents Title:

More information

CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction

CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction Luis Ceze, Karin Strauss, James Tuck, Jose Renau, Josep Torrellas University of Illinois at Urbana-Champaign June 2004 Abstract Modern superscalar

More information

Staged Tuning: A Hybrid (Compile/Install-time) Technique for Improving Utilization of Performance-asymmetric Multicores

Staged Tuning: A Hybrid (Compile/Install-time) Technique for Improving Utilization of Performance-asymmetric Multicores Computer Science Technical Reports Computer Science Summer 6-29-2015 Staged Tuning: A Hybrid (Compile/Install-time) Technique for Improving Utilization of Performance-asymmetric Multicores Tyler Sondag

More information

Speculative Synchronization

Speculative Synchronization Speculative Synchronization José F. Martínez Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/martinez Problem 1: Conservative Parallelization No parallelization

More information

Inserting Data Prefetches into Loops in Dynamically Translated Code in IA-32EL. Inserting Prefetches IA-32 Execution Layer - 1

Inserting Data Prefetches into Loops in Dynamically Translated Code in IA-32EL. Inserting Prefetches IA-32 Execution Layer - 1 I Inserting Data Prefetches into Loops in Dynamically Translated Code in IA-32EL Inserting Prefetches IA-32 Execution Layer - 1 Agenda IA-32EL Brief Overview Prefetching in Loops IA-32EL Prefetching in

More information

Revisiting the Sequential Programming Model for Multi-Core

Revisiting the Sequential Programming Model for Multi-Core Revisiting the Sequential Programming Model for Multi-Core Matthew J. Bridges, Neil Vachharajani, Yun Zhang, Thomas Jablin, & David I. August The Liberty Research Group Princeton University 2 Source: Intel/Wikipedia

More information

Workloads, Scalability and QoS Considerations in CMP Platforms

Workloads, Scalability and QoS Considerations in CMP Platforms Workloads, Scalability and QoS Considerations in CMP Platforms Presenter Don Newell Sr. Principal Engineer Intel Corporation 2007 Intel Corporation Agenda Trends and research context Evolving Workload

More information

Design of Experiments - Terminology

Design of Experiments - Terminology Design of Experiments - Terminology Response variable Measured output value E.g. total execution time Factors Input variables that can be changed E.g. cache size, clock rate, bytes transmitted Levels Specific

More information

Improvements to Linear Scan register allocation

Improvements to Linear Scan register allocation Improvements to Linear Scan register allocation Alkis Evlogimenos (alkis) April 1, 2004 1 Abstract Linear scan register allocation is a fast global register allocation first presented in [PS99] as an alternative

More information

Lecture 13: March 25

Lecture 13: March 25 CISC 879 Software Support for Multicore Architectures Spring 2007 Lecture 13: March 25 Lecturer: John Cavazos Scribe: Ying Yu 13.1. Bryan Youse-Optimization of Sparse Matrix-Vector Multiplication on Emerging

More information

ECE404 Term Project Sentinel Thread

ECE404 Term Project Sentinel Thread ECE404 Term Project Sentinel Thread Alok Garg Department of Electrical and Computer Engineering, University of Rochester 1 Introduction Performance degrading events like branch mispredictions and cache

More information

SEVERAL studies have proposed methods to exploit more

SEVERAL studies have proposed methods to exploit more IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. 16, NO. 4, APRIL 2005 1 The Impact of Incorrectly Speculated Memory Operations in a Multithreaded Architecture Resit Sendag, Member, IEEE, Ying

More information

TraceBack: First Fault Diagnosis by Reconstruction of Distributed Control Flow

TraceBack: First Fault Diagnosis by Reconstruction of Distributed Control Flow TraceBack: First Fault Diagnosis by Reconstruction of Distributed Control Flow Andrew Ayers Chris Metcalf Junghwan Rhee Richard Schooler VERITAS Emmett Witchel Microsoft Anant Agarwal UT Austin MIT Software

More information

Precise Instruction Scheduling

Precise Instruction Scheduling Journal of Instruction-Level Parallelism 7 (2005) 1-29 Submitted 10/2004; published 04/2005 Precise Instruction Scheduling Gokhan Memik Department of Electrical and Computer Engineering Northwestern University

More information

Data-Triggered Threads: Eliminating Redundant Computation

Data-Triggered Threads: Eliminating Redundant Computation In Proceedings of the 17th International Symposium on High Performance Computer Architecture (HPCA 2011) Data-Triggered Threads: Eliminating Redundant Computation Hung-Wei Tseng and Dean M. Tullsen Department

More information

Exploiting Parallelism in Multicore Processors through Dynamic Optimizations

Exploiting Parallelism in Multicore Processors through Dynamic Optimizations Exploiting Parallelism in Multicore Processors through Dynamic Optimizations A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Yangchun Luo IN PARTIAL FULFILLMENT

More information

A Multiprocessing Approach to Accelerate Retargetable and Portable Dynamic-compiled Instruction-set Simulation

A Multiprocessing Approach to Accelerate Retargetable and Portable Dynamic-compiled Instruction-set Simulation A Multiprocessing Approach to Accelerate Retargetable and Portable Dynamic-compiled Instruction-set Simulation Wei Qin Boston University Boston, MA, U.S.A. wqin@bu.edu Joseph D Errico Cavium Networks,

More information

ATOS introduction ST/Linaro Collaboration Context

ATOS introduction ST/Linaro Collaboration Context ATOS introduction ST/Linaro Collaboration Context Presenter: Christian Bertin Development team: Rémi Duraffort, Christophe Guillon, François de Ferrière, Hervé Knochel, Antoine Moynault Consumer Product

More information

Performance of Multithreaded Chip Multiprocessors and Implications for Operating System Design

Performance of Multithreaded Chip Multiprocessors and Implications for Operating System Design Performance of Multithreaded Chip Multiprocessors and Implications for Operating System Design Based on papers by: A.Fedorova, M.Seltzer, C.Small, and D.Nussbaum Pisa November 6, 2006 Multithreaded Chip

More information

Data Access History Cache and Associated Data Prefetching Mechanisms

Data Access History Cache and Associated Data Prefetching Mechanisms Data Access History Cache and Associated Data Prefetching Mechanisms Yong Chen 1 chenyon1@iit.edu Surendra Byna 1 sbyna@iit.edu Xian-He Sun 1, 2 sun@iit.edu 1 Department of Computer Science, Illinois Institute

More information

A Study of the Performance Potential for Dynamic Instruction Hints Selection

A Study of the Performance Potential for Dynamic Instruction Hints Selection A Study of the Performance Potential for Dynamic Instruction Hints Selection Rao Fu 1,JiweiLu 2, Antonia Zhai 1, and Wei-Chung Hsu 1 1 Department of Computer Science and Engineering University of Minnesota

More information

Implementation of Trace Optimization and Investigation of Advanced Load Optimization in ADORE. Technical Report

Implementation of Trace Optimization and Investigation of Advanced Load Optimization in ADORE. Technical Report Implementation of Trace Optimization and Investigation of Advanced Load Optimization in ADORE Technical Report Department of Computer Science and Engineering University of Minnesota 4-192 EECS Building

More information

POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure

POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure Wei Liu, James Tuck, Luis Ceze, Karin Strauss, Jose Renau and Josep Torrellas Department of Computer Science University of Illinois

More information

Computer Architecture Lecture 24: Memory Scheduling

Computer Architecture Lecture 24: Memory Scheduling 18-447 Computer Architecture Lecture 24: Memory Scheduling Prof. Onur Mutlu Presented by Justin Meza Carnegie Mellon University Spring 2014, 3/31/2014 Last Two Lectures Main Memory Organization and DRAM

More information

Thread-Level Speculation on a CMP Can Be Energy Efficient

Thread-Level Speculation on a CMP Can Be Energy Efficient Thread-Level Speculation on a CMP Can Be Energy Efficient Jose Renau Karin Strauss Luis Ceze Wei Liu Smruti Sarangi James Tuck Josep Torrellas ABSTRACT Dept. of Computer Engineering, University of California

More information

Better than the Two: Exceeding Private and Shared Caches via Two-Dimensional Page Coloring

Better than the Two: Exceeding Private and Shared Caches via Two-Dimensional Page Coloring Better than the Two: Exceeding Private and Shared Caches via Two-Dimensional Page Coloring Lei Jin Sangyeun Cho Department of Computer Science University of Pittsburgh jinlei,cho@cs.pitt.edu Abstract Private

More information

{ssnavada, nkchoudh,

{ssnavada, nkchoudh, Criticality-driven Superscalar Design Space Exploration Sandeep Navada, Niket K. Choudhary, Eric Rotenberg Department of Electrical and Computer Engineering North Carolina State University {ssnavada, nkchoudh,

More information

CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading)

CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading) CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading) Limits to ILP Conflicting studies of amount of ILP Benchmarks» vectorized Fortran FP vs. integer

More information

POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure

POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure POSH: A Profiler-Enhanced TLS Compiler that Leverages Program Structure Wei Liu, James Tuck, Luis Ceze, Karin Strauss, Jose Renau and Josep Torrellas Department of Computer Science University of Illinois

More information

Thread-Level Speculation on a CMP Can Be Energy Efficient

Thread-Level Speculation on a CMP Can Be Energy Efficient Thread-Level Speculation on a CMP Can Be Energy Efficient Jose Renau Karin Strauss Luis Ceze Wei Liu Smruti Sarangi James Tuck Josep Torrellas ABSTRACT Dept. of Computer Engineering, University of California

More information

Advanced Processor Architecture. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Advanced Processor Architecture. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Advanced Processor Architecture Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Modern Microprocessors More than just GHz CPU Clock Speed SPECint2000

More information

Instruction Based Memory Distance Analysis and its Application to Optimization

Instruction Based Memory Distance Analysis and its Application to Optimization Instruction Based Memory Distance Analysis and its Application to Optimization Changpeng Fang cfang@mtu.edu Steve Carr carr@mtu.edu Soner Önder soner@mtu.edu Department of Computer Science Michigan Technological

More information

Exploitation of instruction level parallelism

Exploitation of instruction level parallelism Exploitation of instruction level parallelism Computer Architecture J. Daniel García Sánchez (coordinator) David Expósito Singh Francisco Javier García Blas ARCOS Group Computer Science and Engineering

More information