! Replace maxterm OR gates with NOR gates! Place compensating inversion at inputs of AND gate

Size: px
Start display at page:

Download "! Replace maxterm OR gates with NOR gates! Place compensating inversion at inputs of AND gate"

Transcription

1 Two-level logic using NN gates (cont d) ELE 4 igital Electronics Week : ombinational Logic Implementation! OR gate with inverted inputs is a NN gate " de Morgan's: ' ' = ( )'! Two-level NN-NN network " inverted inputs are not counted " in a typical circuit, inversion is done once and signal distributed Saeid Nooshabadi ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - ombinational logic implementation Two-level logic using gates! Two-level logic " implementations of two-level logic " NN/! Multi-level logic " factored forms " and-or-invert gates! Time behavior " gate delays " hazards! Regular logic " multiplexors " decoders " PL/PLs " ROMs! Replace maxterm OR gates with gates! Place compensating inversion at inputs of N gate ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - Implementations of two-level logic Two-level logic using gates (cont d)! Sum-of-products " N gates to form product terms (minterms) " OR gate to form sum! N gate with inverted inputs is a gate " de Morgan's: ' ' = ( )'! Two-level - network " inverted inputs are not counted " in a typical circuit, inversion is done once and signal distributed! Product-of-sums " OR gates to form sum terms (maxterms) " N gates to form product ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - Two-level logic using NN gates Two-level logic using NN and gates! Replace minterm N gates with NN gates! Place compensating inversion at inputs of OR gate! NN-NN and - networks " de Morgan's law: ( )' = ' ' ( )' = ' ' " written differently: = (' ')' ( ) = (' ')'! In other words " OR is the same as NN with complemented inputs " N is the same as with complemented inputs " NN is the same as OR with complemented inputs " is the same as N with complemented inputs OR OR N N NN NN ELE4 - ombinational Implementation - 4 ELE4 - ombinational Implementation - 8

2 onversion between forms Multi-level logic! onvert from networks of Ns and ORs to networks of NNs and s " introduce appropriate inversions ("bubbles")! Each introduced "bubble" must be matched by a corresponding "bubble" " conservation of inversions " do not alter logic function! Example: N/OR to NN/NN! x = E E E G " reduced sum-of-products form already simplified " x -input N gates x -input OR gate (that may not even exist!) " wires (9 literals plus internal wires)! x = ( ) ( E) G " factored form not written as two-level S-o-P " x -input OR gate, x -input OR gates, x -input N gate " wires ( literals plus internal wires) NN NN NN E G ELE4 - ombinational Implementation - 9 ELE4 - ombinational Implementation - onversion between forms (cont d) onversion of multi-level logic to NN gates! Example: verify equivalence of two forms NN NN NN! = ( ) ' original N-OR network introduction and conservation of bubbles \ \ Level Level Level Level 4 = [ ( )' ( )' ]' = [ (' ') (' ') ]' = [ (' ')' (' ')' ] = ( ) ( ) # redrawn in terms of conventional NN gates \ \ ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 4 onversion between forms (cont d) onversion of multi-level logic to s! Example: map N/OR network to / network conserve "bubbles" Step \ \ \ \ Step conserve "bubbles"! = ( ) ' introduction and conservation of bubbles original N-OR network \ redrawn in terms of conventional gates \ \ \ \ \ Level Level Level Level 4 ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - onversion between forms (cont d) onversion between forms! Example: verify equivalence of two forms! Example \ \ \ \ = { [ (' ')' (' ')' ]' }' = { (' ') (' ') }' = (' ')' (' ')' = ( ) ( ) # (a) (c) \ original circuit \ distribute bubbles some mismatches \ add double bubbles at inputs \ insert inverters to fix mismatches (b) (d) ELE4 - ombinational Implementation - ELE4 - ombinational Implementation -

3 N-OR-invert gates Summary for multi-level logic! OI function: three stages of logic N, OR, Invert " multiple gates "packaged" as a single circuit block logical concept possible implementation! dvantages " circuits may be smaller " gates have smaller fan-in " circuits may be faster! isadvantages " more difficult to design " tools for optimization are not as good as for two-level " analysis is more complex N OR Invert NN NN Invert x OI gate symbol x OI gate symbol ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - onversion to OI forms MINISTRTIVE (/)! General procedure to place in OI form " compute the complement of the function in sum-of-products form " by grouping the s in the Karnaugh map! Example: OR implementation xor = ' ' " OI form: = (' ' )' ' '! istributed Handouts: "irst day Handout "Laboratory Information "Tutorial # "Laboratory #! If you haven t got them get it off the website or from the lab! uture Laboratory handouts can only be collected from the lab/website! Tutorial handouts will be provided in the class. lso available from the website/lab. ELE4 - ombinational Implementation - 8 ELE4 - ombinational Implementation - Examples of using OI gates MINISTRTIVE (/)! Example: " = ' ' " ' = ' ' ' ' " Implemented by -input -stack OI gate " = ( ) ( ') ( ') " ' = (' ) (' ) (' ') " Implemented by -input -stack OI gate! Example: 4-bit equality function " = ( ' ')( ' ')( ' ')( ' ') each implemented in a single x OI gate ELE4 - ombinational Implementation - 9! Lecture Slides are available in "PowerPoint format, "P ( slides a page) "P (4 slides a page) as well! heck website to see your lab allocation! Laboratory starts on week # for Odd groups and week #4 for Even groups! o your Lab Enrolment if you haven t done so yet.! You will not be allowed in the lab if you have not enrolled "8 Students are enrolled in the course "8 Students are enrolled in the lab " yet to enroll in the laboratory ELE4 - ombinational Implementation - Examples of using OI gates (cont d) Time behavior of combinational networks! Example: OI implementation of 4-bit equality function high if low if = conservation of bubbles if all inputs are low then i = i, i=,..., output is high! Waveforms " visualization of values carried on signal wires over time " useful in explaining sequences of events (changes in value)! Simulation tools are used to create these waveforms " input to the simulator includes gates and their connections " input stimulus, that is, input signal waveforms! Some terms " gate delay time for change at input to cause change at output min delay typical/nominal delay max delay careful designers design for the worst case " rise time time for output to transition from low to high voltage " fall time time for output to transition from high to low voltage " pulse width time that an output stays high or stays low between changes ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 4

4 Momentary changes in outputs Static hazards! an be useful pulse shaping circuits! an be a problem incorrect circuit operation (glitches/hazards)! Example: pulse shaping circuit " ' = " delays matter in function! ue to a literal and its complement momentarily taking on the same value " through different paths with different delays and reconverging! May cause an output that should have stayed at the same value to momentarily take on the wrong value! Example: multiplexer S S remains high for three gate delays after changes from low to high is not always pulse gate-delays wide S' static- hazard S' static- hazard hazard ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 9 Oscillatory behavior ynamic hazards! nother pulse shaping circuit close switch initially undefined open switch open switch resistor! ue to the same versions of a literal taking on opposite values " through different paths with different delays and reconverging! May cause an output that was to change value to change times instead of once! Example: hazard dynamic hazards ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - Hazards/glitches Making connections! Hazards/glitches: unwanted switching at the outputs " occur when different paths through circuit have different propagation delays as in pulse shaping circuits we just analyzed " dangerous if logic causes an action while output is unstable may need to guarantee absence of glitches! Usual solutions " ) wait until signals are stable (by using a clock) preferable (easiest to design when there is a clock synchronous design) " ) design hazard-free circuits sometimes necessary (clock not used asynchronous design)! irect point-to-point connections between gates " wires we've seen so far! Route one of many inputs to a single output --- multiplexer! Route a single input to one of many outputs --- demultiplexer control control multiplexer demultiplexer 4x4 switch ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - Types of hazards Mux and de! Static -hazard " input change causes output to go from to to! Switch implementation of multiplexers and demultiplexers " can be composed to make arbitrary size switching networks " used to implement multiple-source/multiple-destination interconnections! Static -hazard " input change causes output to go from to to Y! ynamic hazards " input change causes a double change from to to to OR from to to to Y ELE4 - ombinational Implementation - 8 ELE4 - ombinational Implementation -

5 Mux and de (cont'd) ascading multiplexers! Uses of multiplexers/demultiplexers in multi-point connections Sa MU MU Sb multiple input sources Sum Ss EMU multiple output destinations S S ELE4 - ombinational Implementation -! Large multiplexers can be implemented by cascading smaller ones I I I I I4 I I I 4: 4: control signals and simultaneously choose one of I, I, I, I and one of I4, I, I, I control signal chooses which of the upper or lower 's output to gate to 8: : I I I I I4 I I I ELE4 - ombinational Implementation - : : : : alternative implementation 4: 8: Multiplexers/selectors Multiplexers as general-purpose logic! Multiplexers/selectors: general concept " n data inputs, n control inputs (called "selects"), output " used to connect n points to a single point " control signal pattern forms binary index of input connected to output = ' I I functional form logical form I I two alternative forms for a : Mux truth table I I! n : multiplexer can implement any function of n variables " with the variables used as control inputs and " the data inputs tied to or " in essence, a lookup table! Example: " (,,) = m m m m = ''' '' ' 4 8: MU S S S ELE4 - ombinational Implementation - 4 ELE4 - ombinational Implementation - 8 Multiplexers/selectors (cont'd) Multiplexers as general-purpose logic (cont d)! : : = ' I I! 4: : = ' ' I ' I ' I I! 8: : = ' ' ' I ' ' I ' ' I ' I ' ' I4 ' I ' I I n -! In general, = Σ (m k= k I k ) " in minterm shorthand form for a n : Mux I I : I I I I 4: I I I I I4 I I I 8:! n- : multiplexer can implement any function of n variables " with n- variables used as control inputs and " the data inputs tied to the last variable or its complement! Example: " (,,) = m m m m (' ) = ''' '' ' = ''(') '(') '() () 4 8: MU S S S ' ' ' ' 4: MU S S ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 9 Gate level implementation of es Multiplexers as general-purpose logic (cont d)! :! 4: n- control variables single data variable I I... I n- I n I n- I n- ' four possible configurations of truth table rows can be expressed as a function of I n ELE4 - ombinational Implementation - choose,, as control variables multiplexer implementation ELE4 - ombinational Implementation : MU S S S

6 emultiplexers/decoders ascading decoders! ecoders/demultiplexers: general concept " single data input, n control inputs, n outputs " control inputs (called selects (S)) represent binary index of output to which the input is connected " data input usually called enable (G) : ecoder: O = G S O = G S :4 ecoder: O = G S S O = G S S O = G S S O = G S S :8 ecoder: O = G S S S O = G S S S O = G S S S O = G S S S O4 = G S S S O = G S S S O = G S S S O = G S S S! : decoder " x:4 decoder " 4x:8 decoders :4 E S S ''''E' :8 E 4 S S S :8 E 4 E S S S E :8 E 4 S S S ''E' '''E' :8 E 4 'E S S S E ELE4 - ombinational Implementation - 4 ELE4 - ombinational Implementation - 4 Gate level implementation of demultiplexers MINISTRTIVE! : decoders! :4 decoders active-high enable G S O O active-low enable \G S O O! Tutorial # handouts is avilable for collection at the end of the lecture.! Tomorrow s Session may be a Lecture Session, or Lecture Tutorial Session. G active-high enable O O \G active-low enable O O O O O O S S S S ELE4 - ombinational Implementation - 4 ELE4 - ombinational Implementation - 4 emultiplexers as general-purpose logic Programmable logic arrays! n: n decoder can implement any function of n variables " with the variables used as control inputs " the enable inputs tied to and " the appropriate minterms summed to form the function! Pre-fabricated building block of many N/OR gates " actually or NN " "personalized" by making or breaking connections among the gates " programmable array block diagram for sum of products form :8 E 4 S S S ''' '' '' ' '' ' ' demultiplexer generates appropriate minterm based on control signals (it "decodes" control signals) inputs N array product terms OR array outputs ELE4 - ombinational Implementation - 4 ELE4 - ombinational Implementation - 4 emultiplexers as general-purpose logic (cont d) Enabling concept! = ' ' ' '! = '! = ' ( ) =! = (' ' ' ')! = ( )' Enable 4: E '''' ''' ''' '' 4 ''' '' '' ' 8 ''' 9 '' '' ' '' ' 4 '! Shared product terms among outputs example: personality matrix = ' ' = ' = ' ' = ' product inputs outputs term ' ' '' input side: = uncomplemented in term = complemented in term = does not participate output side: = term connected to output = no connection to output reuse of terms ELE4 - ombinational Implementation - 44 ELE4 - ombinational Implementation - 48

7 efore programming PLs and PLs! ll possible connections are available before "programming" " in reality, all N and OR gates are NNs! Programmable logic array (PL) " what we've seen so far " unconstrained fully-general N and OR arrays! Programmable array logic (PL) " constrained topology of the OR array " innovation by Monolithic Memories " faster and smaller OR plane a given column of the OR array has access to only a subset of the possible product terms ELE4 - ombinational Implementation - 49 ELE4 - ombinational Implementation - fter programming PLs and PLs: design example! Unwanted connections are "blown" " fuse (normally connected, break unwanted ones) " anti-fuse (normally disconnected, make wanted connections) ' ' ''! to Gray code converter W Y minimized functions: W = = ' Y = = ''' ' ' ' K-map for W K-map for Y K-map for K-map for ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 4 lternate representation for high fan-in structures PLs and PLs: design example (cont d)! Short-hand notation so we don't have to draw all the wires " signifies a connection is present and perpendicular signal is an input to gate ELE4 - ombinational Implementation - notation for implementing = ' ' = ' ' '' '' '' ' '! ode converter: programmed PL W Y ' ''' ' ' ELE4 - ombinational Implementation - minimized functions: W = = ' Y = = ''' ' ' ' not a particularly good candidate for PL/PL implementation since no terms are shared among outputs however, much more compact and regular implementation when compared with discrete N and OR gates Programmable logic array example PLs and PLs: design example (cont d)! Multiple functions of,, " = " = " = ' ' ' " 4 = ' ' ' " = xor xor " = xnor xnor 4 ELE4 - ombinational Implementation - full decoder as for memory address bits stored in memory 4 ''' '' '' ' '' ' '! ode converter: programmed PL 4 product terms per each OR gate ELE4 - ombinational Implementation - W Y ' ''' ' ''

8 PLs and PLs: design example (cont d) ROM structure! ode converter: NN gate implementation " loss or regularity, harder to understand " harder to make changes! Similar to a PL structure but with a fully decoded N array " completely flexible OR array (unlike PL) W \ \ \ n address lines inputs decoder n word lines memory array ( n words by m bits) outputs Y m data lines ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - PLs and PLs: another design example ROM vs. PL! Magnitude comparator K-map for EQ K-map for NE '''' '' '' ' ' ' ' '' ' ''! ROM approach advantageous when " design time is short (no need to minimize output functions) " most input combinations are needed (e.g., code converters) " little sharing of product terms among output functions! ROM problems " size doubles for each additional input " can't exploit don't cares! PL approach advantageous when " design tools are available for multi-output minimization " there are relatively few unique minterm combinations " many minterms are shared among the output functions! PL problems " constrained fan-ins on OR plane K-map for LT K-map for GT ELE4 - ombinational Implementation - 8 EQ NE LT GT ELE4 - ombinational Implementation - Read-only memories Regular logic structures for two-level logic! Two dimensional array of s and s " entry (row) is called a "word" " width of row = word-size " index is called an "address" " address is input " selected word is output n - internal organization decoder n- ddress i j word lines (only one is active decoder is just right for this) word[i] = word[j] = bit lines (normally pulled to through resistor selectively connected to by word line controlled switches)! ROM full N plane, general OR plane " cheap (high-volume component) " can implement any function of n inputs " medium speed! PL programmable N plane, fixed OR plane " intermediate cost " can implement functions limited by number of terms " high speed (only one programmable plane that is much smaller than ROM's decoder)! PL programmable N and OR planes " most expensive (most complex in design, need more sophisticated tools) " can implement any function up to a product term limit " slow (two programmable planes) ELE4 - ombinational Implementation - 9 ELE4 - ombinational Implementation - ROMs and combinational logic Regular logic structures for multi-level logic! ombinational logic implementation (two-level canonical form) using a ROM truth table = ' ' ' ' ' = ' ' ' ' = ' ' ' ' ' ' ' = ' ' ' ' ROM 8 words x 4 bits/word address outputs block diagram! ifficult to devise a regular structure for arbitrary connections between a large set of different types of gates " efficiency/speed concerns for such a structure " in 4 you'll learn about field programmable gate arrays (PGs) that are just such programmable multi-level structures programmable multiplexers for wiring lookup tables for logic functions (programming fills in the table) multi-purpose cells (utilization is the big issue)! Use multiple levels of PLs/PLs/ROMs " output intermediate result " make it an input to be used in further logic ELE4 - ombinational Implementation - ELE4 - ombinational Implementation - 4

9 ombinational logic implementation summary! Multi-level logic " conversion to NN-NN and - networks " transition from simple gates to more complex gate building blocks " reduced gate count, fan-ins, potentially faster " more levels, harder to design! Time response in combinational networks " gate delays and timing waveforms " hazards/glitches (what they are and why they happen)! Regular logic " multiplexers/decoders " ROMs " PLs/PLs " advantages/disadvantages of each ELE4 - ombinational Implementation -

Chapter 3 working with combinational logic

Chapter 3 working with combinational logic hapter 3 working with combinational logic ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz Working with combinational logic Simplification two-level simplification exploiting don t cares

More information

Administrivia. CSE 370 Spring 2006 Introduction to Digital Design Lecture 9: Multilevel Logic

Administrivia. CSE 370 Spring 2006 Introduction to Digital Design Lecture 9: Multilevel Logic SE 370 Spring 2006 Introduction to igital esign Lecture 9: Multilevel Logic Last Lecture Introduction to Verilog Today Multilevel Logic Hazards dministrivia Hand in Homework #3 Homework #3 posted this

More information

Programmable Logic Devices

Programmable Logic Devices EG igital Logic Fundamentals /4/6 EG igital Logic Fundamentals Programmable Logic evices aback Izadi ivision of Engineering Programs bai@engr.newpaltz.edu Introduction Fuse Link E = blown fuse link E =

More information

Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples

Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples Lecture B: Logic Minimization Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples Incompletely specified functions

More information

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates.

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates. Review: minimum sum-of-products expression from a Karnaugh map EECS 5 - Components and Design Techniques for Digital Systems Lec 7 PLAs and FSMs 9/2- David Culler Electrical Engineering and Computer Sciences

More information

Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic

Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic Question 1: Due October 19 th, 2009 A convenient shorthand for specifying

More information

Functional Block: Decoders

Functional Block: Decoders University of Wisconsin - Madison EE/omp Sci 352 Digital Systems Fundamentals harles R. Kime Section 2 Fall 2 hapter 3 ombinational Logic Design Part 2 Tom Kaminski & harles R. Kime harles Kime & Thomas

More information

Chap-2 Boolean Algebra

Chap-2 Boolean Algebra Chap-2 Boolean Algebra Contents: My name Outline: My position, contact Basic information theorem and postulate of Boolean Algebra. or project description Boolean Algebra. Canonical and Standard form. Digital

More information

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Review CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Thursday, October 20 In class, pencil & paper exam Closed book, closed notes, no cell phones or calculators, clean desk 20% of your final

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Simplification of two-level combinational logic

Simplification of two-level combinational logic ombinational logic optimization! lternate representations of oolean functions " cubes " karnaugh maps! Simplification " two-level simplification " exploiting don t cares " algorithm for simplification

More information

Specifying logic functions

Specifying logic functions CSE4: Components and Design Techniques for Digital Systems Specifying logic functions Instructor: Mohsen Imani Slides from: Prof.Tajana Simunic and Dr.Pietro Mercati We have seen various concepts: Last

More information

Review. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You ll see them again in 150, 152 & 164

Review. Pipeline big-delay CL for faster clock Finite State Machines extremely useful You ll see them again in 150, 152 & 164 CS61C L17 Combinatorial Logic Blocks (1) inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #17 Combinatorial Logic Blocks 2007-7-24 Scott Beamer, Instructor Review Pipeline big-delay CL

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline.

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline. Review EECS 150 - Components and Design Techniques for Digital Systems Lec 05 Boolean Logic 94-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley Design flow

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers L14 Memory 1 General Table Lookup Synthesis

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

LECTURE 4. Logic Design

LECTURE 4. Logic Design LECTURE 4 Logic Design LOGIC DESIGN The language of the machine is binary that is, sequences of 1 s and 0 s. But why? At the hardware level, computers are streams of signals. These signals only have two

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 03 Field Programmable Gate Arrays

Review. EECS Components and Design Techniques for Digital Systems. Lec 03 Field Programmable Gate Arrays EECS 5 - Components and Design Techniques for Digital Systems Lec 3 Field Programmable Gate Arrays 9-4-7 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~culler

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15 1.) CLD2 problem 2.2 We are allowed to use AND gates, OR gates, and inverters. Note that all of the Boolean expression are already conveniently expressed in terms of AND's, OR's, and inversions. Thus,

More information

Combinational Logic & Circuits

Combinational Logic & Circuits Week-I Combinational Logic & Circuits Spring' 232 - Logic Design Page Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other

More information

Written exam for IE1204/5 Digital Design Thursday 29/

Written exam for IE1204/5 Digital Design Thursday 29/ Written exam for IE1204/5 Digital Design Thursday 29/10 2015 9.00-13.00 General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned when

More information

CS Spring Combinational Examples - 1

CS Spring Combinational Examples - 1 S 5 - Spring 2 - ombinational Examples - ombinational Logic esign ase Studies General esign Procedure for ombinational Logic General design procedure Examples alendar subsstem to 7-segment displa controller

More information

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are:

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: Problem 1: CLD2 Problems. (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: C 0 = A + BD + C + BD C 1 = A + CD + CD + B C 2 = A + B + C + D C 3 = BD + CD + BCD + BC C 4

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES

DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES 1 iclicker Question 13 Considering the K-Map, f can be simplified as (2 minutes): A) f = b c + a b c B) f = ab d + a b d AB CD

More information

Chapter 3. Gate-Level Minimization. Outlines

Chapter 3. Gate-Level Minimization. Outlines Chapter 3 Gate-Level Minimization Introduction The Map Method Four-Variable Map Five-Variable Map Outlines Product of Sums Simplification Don t-care Conditions NAND and NOR Implementation Other Two-Level

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 5 & 6 Minimization with Karnaugh Maps Karnaugh maps lternate way of representing oolean function ll rows

More information

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly.

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. ll the Gates There are only 6 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. Do we really need all of these gates? How many of these gates can be implemented

More information

Simplification of Boolean Functions

Simplification of Boolean Functions COM111 Introduction to Computer Engineering (Fall 2006-2007) NOTES 5 -- page 1 of 5 Introduction Simplification of Boolean Functions You already know one method for simplifying Boolean expressions: Boolean

More information

Chapter 2. Boolean Algebra and Logic Gates

Chapter 2. Boolean Algebra and Logic Gates Chapter 2. Boolean Algebra and Logic Gates Tong In Oh 1 Basic Definitions 2 3 2.3 Axiomatic Definition of Boolean Algebra Boolean algebra: Algebraic structure defined by a set of elements, B, together

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

POWR IP PZ1/17

POWR IP PZ1/17 Silesian University of Technology as Centre of Modern Education Based on Research and Innovations POWR.03.05.00-IP.08-00-PZ1/17 Project co-financed by the European Union under the European Social Fund

More information

SWITCHING THEORY AND LOGIC CIRCUITS

SWITCHING THEORY AND LOGIC CIRCUITS SWITCHING THEORY AND LOGIC CIRCUITS COURSE OBJECTIVES. To understand the concepts and techniques associated with the number systems and codes 2. To understand the simplification methods (Boolean algebra

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

Review: Chip Design Styles

Review: Chip Design Styles MPT-50 Introduction to omputer Design SFU, Harbour entre, Spring 007 Lecture 9: Feb. 6, 007 Programmable Logic Devices (PLDs) - Read Only Memory (ROM) - Programmable Array Logic (PAL) - Programmable Logic

More information

Gate-Level Minimization. section instructor: Ufuk Çelikcan

Gate-Level Minimization. section instructor: Ufuk Çelikcan Gate-Level Minimization section instructor: Ufuk Çelikcan Compleity of Digital Circuits Directly related to the compleity of the algebraic epression we use to build the circuit. Truth table may lead to

More information

1. Prove that if you have tri-state buffers and inverters, you can build any combinational logic circuit. [4]

1. Prove that if you have tri-state buffers and inverters, you can build any combinational logic circuit. [4] HW 3 Answer Key 1. Prove that if you have tri-state buffers and inverters, you can build any combinational logic circuit. [4] You can build a NAND gate from tri-state buffers and inverters and thus you

More information

Chapter 2. Boolean Expressions:

Chapter 2. Boolean Expressions: Chapter 2 Boolean Expressions: A Boolean expression or a function is an expression which consists of binary variables joined by the Boolean connectives AND and OR along with NOT operation. Any Boolean

More information

Combinational Circuits Digital Logic (Materials taken primarily from:

Combinational Circuits Digital Logic (Materials taken primarily from: Combinational Circuits Digital Logic (Materials taken primarily from: http://www.facstaff.bucknell.edu/mastascu/elessonshtml/eeindex.html http://www.cs.princeton.edu/~cos126 ) Digital Systems What is a

More information

Lecture Summary Module 2 Combinational Logic Circuits

Lecture Summary Module 2 Combinational Logic Circuits Lecture Summary Module 2 Combinational Logic Circuits Learning Outcome: an ability to analyze and design combinational logic circuits Learning Objectives: 2-1. identify minterms (product terms) and maxterms

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 2 Combinational Logic Circuits J.J. Shann (Slightly trimmed by C.P. Chung) Chapter Overview 2-1 Binary Logic and Gates 2-2 Boolean Algebra 2-3 Standard Forms 2-4 Two-Level Circuit Optimization

More information

Chapter 2: Combinational Systems

Chapter 2: Combinational Systems Uchechukwu Ofoegbu Chapter 2: Combinational Systems Temple University Adapted from Alan Marcovitz s Introduction to Logic and Computer Design Riddle Four switches can be turned on or off. One is the switch

More information

ENEL Digital Circuits Midterm Examination

ENEL Digital Circuits Midterm Examination Name: Lecture Section: L0 N. artley :-:50 L02 S. Norman, 2:-2:50 ENEL 353 - igital ircuits Midterm Examination Wednesday, October 30, 203 Instructions: Time allowed is 90 minutes. In order to minimize

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Chapter 4. Combinational Logic

Chapter 4. Combinational Logic Chapter 4. Combinational Logic Tong In Oh 1 4.1 Introduction Combinational logic: Logic gates Output determined from only the present combination of inputs Specified by a set of Boolean functions Sequential

More information

2008 The McGraw-Hill Companies, Inc. All rights reserved.

2008 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. All or Nothing Gate Boolean Expression: A B = Y Truth Table (ee next slide) or AB = Y 28

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Boolean logic. Boolean Algebra. Introduction to Computer Yung-Yu Chuang NOT AND NOT

Boolean logic. Boolean Algebra. Introduction to Computer Yung-Yu Chuang NOT AND NOT oolean lgebra oolean logic ased on symbolic logic, designed by George oole oolean variables take values as or. oolean expressions created from: NOT, ND, OR Introduction to Computer ung-u Chuang with slides

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

Mark Redekopp, All rights reserved. EE 352 Unit 8. HW Constructs

Mark Redekopp, All rights reserved. EE 352 Unit 8. HW Constructs EE 352 Unit 8 HW Constructs Logic Circuits Combinational logic Perform a specific function (mapping of 2 n input combinations to desired output combinations) No internal state or feedback Given a set of

More information

Lecture (03) Binary Codes Registers and Logic Gates

Lecture (03) Binary Codes Registers and Logic Gates Lecture (03) Binary Codes Registers and Logic Gates By: Dr. Ahmed ElShafee Binary Codes Digital systems use signals that have two distinct values and circuit elements that have two stable states. binary

More information

Experiment 3: Logic Simplification

Experiment 3: Logic Simplification Module: Logic Design Name:... University no:.. Group no:. Lab Partner Name: Mr. Mohamed El-Saied Experiment : Logic Simplification Objective: How to implement and verify the operation of the logical functions

More information

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure Objectives: 1. Design procedure. 2. undamental circuits. 1. Design procedure Design procedure has five steps: o Specification. o ormulation. o Optimization. o Technology mapping. o Verification. Specification:

More information

ece5745-pla-notes.txt

ece5745-pla-notes.txt ece5745-pla-notes.txt ========================================================================== Follow up on PAL/PROM/PLA Activity ==========================================================================

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Q. What is a combinational circuit? A. Digital: signals are or. A. No feedback: no loops. analog circuits: signals vary continuously sequential circuits: loops allowed (stay tuned)

More information

6. Combinational Circuits. Building Blocks. Digital Circuits. Wires. Q. What is a digital system? A. Digital: signals are 0 or 1.

6. Combinational Circuits. Building Blocks. Digital Circuits. Wires. Q. What is a digital system? A. Digital: signals are 0 or 1. Digital Circuits 6 Combinational Circuits Q What is a digital system? A Digital: signals are or analog: signals vary continuously Q Why digital systems? A Accurate, reliable, fast, cheap Basic abstractions

More information

Programmable Memory Blocks Supporting Content-Addressable Memory

Programmable Memory Blocks Supporting Content-Addressable Memory Programmable Memory Blocks Supporting Content-Addressable Memory Frank Heile, Andrew Leaver, Kerry Veenstra Altera 0 Innovation Dr San Jose, CA 95 USA (408) 544-7000 {frank, aleaver, kerry}@altera.com

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University Logic Design First Stage Lecture No.6 Boolean Algebra Bawar Abid Abdalla Assistant Lecturer Software Engineering Department Koya University Outlines Boolean Operations Laws of Boolean Algebra Rules of

More information

QUESTION BANK FOR TEST

QUESTION BANK FOR TEST CSCI 2121 Computer Organization and Assembly Language PRACTICE QUESTION BANK FOR TEST 1 Note: This represents a sample set. Please study all the topics from the lecture notes. Question 1. Multiple Choice

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Minimization CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

Solutions for 3824 Midterm Exam 3/4/ Truth table. A B C Z

Solutions for 3824 Midterm Exam 3/4/ Truth table. A B C Z Solutions for 3824 Midterm Exam 3/4/04 1. Truth table. ----------- 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 0 2. When analyzing a circuit built with NN-gates, the easiest approach

More information

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006 Introduction to Digital Logic ENGR 34 Computer Architecture Mark L. Chang Fall 26 Acknowledgements Patterson & Hennessy: Book & Lecture Notes Patterson s 997 course notes (U.C. Berkeley CS 52, 997) Tom

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2008

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2008 Introduction to Digital Logic ENGR 34 Computer Architecture Mark L. Chang Fall 28 Acknowledgements Patterson & Hennessy: Book & Lecture Notes Patterson s 997 course notes (U.C. Berkeley CS 52, 997) Tom

More information

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER Gate-Level Minimization BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Complexity of Digital Circuits Directly related to the complexity of the algebraic expression we use to

More information

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation 1. Objectives A. To apply knowledge of combinatorial design. B. Gain expertise in designing and building a simple combinatorial circuit This

More information

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks ECE 331: N0 ECE230 Review Professor Andrew Mason Michigan State University Spring 2013 1.1 Announcements Opening Remarks HW1 due next Mon Labs begin in week 4 No class next-next Mon MLK Day ECE230 Review

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate logical values from place to place.

More information

Parallel logic circuits

Parallel logic circuits Computer Mathematics Week 9 Parallel logic circuits College of Information cience and Engineering Ritsumeikan University last week the mathematics of logic circuits the foundation of all digital design

More information

Announcements. Chapter 2 - Part 1 1

Announcements. Chapter 2 - Part 1 1 Announcements If you haven t shown the grader your proof of prerequisite, please do so by 11:59 pm on 09/05/2018 (Wednesday). I will drop students that do not show us the prerequisite proof after this

More information

Working with Combinational Logic

Working with Combinational Logic KTZ_238576_M3.fm Page 93 Thursday, November 4, 24 2:38 PM H P T E R Working with ombinational Logic Introduction Now that we ve learned about two-level logic and had a short introduction to multilevel

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

COMP combinational logic 1 Jan. 18, 2016

COMP combinational logic 1 Jan. 18, 2016 In lectures 1 and 2, we looked at representations of numbers. For the case of integers, we saw that we could perform addition of two numbers using a binary representation and using the same algorithm that

More information

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES This chapter in the book includes: Objectives Study Guide 9.1 Introduction 9.2 Multiplexers 9.3 Three-State Buffers 9.4 Decoders and Encoders

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

Purdue IMPACT 2015 Edition by D. G. Meyer. Introduction to Digital System Design. Module 2 Combinational Logic Circuits

Purdue IMPACT 2015 Edition by D. G. Meyer. Introduction to Digital System Design. Module 2 Combinational Logic Circuits Purdue IMPACT 25 Edition by D. G. Meyer Introduction to Digital System Design Module 2 Combinational Logic Circuits Glossary of Common Terms DISCRETE LOGIC a circuit constructed using small-scale integrated

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 1 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Analog Quantities Most natural quantities

More information

2.6 BOOLEAN FUNCTIONS

2.6 BOOLEAN FUNCTIONS 2.6 BOOLEAN FUNCTIONS Binary variables have two values, either 0 or 1. A Boolean function is an expression formed with binary variables, the two binary operators AND and OR, one unary operator NOT, parentheses

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

Outcomes. Unit 9. Logic Function Synthesis KARNAUGH MAPS. Implementing Combinational Functions with Karnaugh Maps

Outcomes. Unit 9. Logic Function Synthesis KARNAUGH MAPS. Implementing Combinational Functions with Karnaugh Maps .. Outcomes Unit I can use Karnaugh maps to synthesize combinational functions with several outputs I can determine the appropriate size and contents of a memory to implement any logic function (i.e. truth

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Synthesis of combinational logic

Synthesis of combinational logic Page 1 of 14 Synthesis of combinational logic indicates problems that have been selected for discussion in section, time permitting. Problem 1. A certain function F has the following truth table: A B C

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system?

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system? MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s Unit-1 Number Systems 1. What does a decimal number represents? A. Quality B. Quantity C. Position D. None of the above 2. Why the

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 3 Additional Gates and Circuits

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 3 Additional Gates and Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View

More information

2/8/2017. SOP Form Gives Good Performance. ECE 120: Introduction to Computing. K-Maps Can Identify Single-Gate Functions

2/8/2017. SOP Form Gives Good Performance. ECE 120: Introduction to Computing. K-Maps Can Identify Single-Gate Functions University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Two-Level Logic SOP Form Gives Good Performance s you know, one can use a K-map

More information