Chapter 4 Combinational Logic

Size: px
Start display at page:

Download "Chapter 4 Combinational Logic"

Transcription

1 4.1 Introduction Chapter 4 Combinational Logic Logic circuit for digital systems may be broadly classified as combinational or sequential. Combinational logic circuits are made by logic gates whose output at any time is determined from the present combinations of inputs. Combinational logic circuits are designed without feedback or memory elements. Figure 4.1 In this chapter we are going to design some basic combinational logic circuits. The foundations for the design of combinational circuits are provided in the preceding chapters. In chapter 1, we have discussed about number systems and different codes used in the digital systems. Chapter 2 defines the Boolean algebra (switching algebra) and how to obtain truth table for a Boolean function. Also we have learned to draw the circuit using Boolean functions. In chapter 3, the simplifications of Boolean functions were illustrated. As we are going to deal with maximum of 4 or 5 variable inputs, K-map is used to simplify the Boolean function. We will use these tools to design combinational logic circuit in this chapter. At the end of this chapter, we will be able to design half adder, full adder, binary adder and BCD adder circuits. designsubtarctor, multiplier circuits. convert one digital code to other code. 4.2 Analysis Procedure Analyzing a circuit means identifying the function of the circuit. Given a circuit in our hand, after analyzing the circuit we have to define the function of the circuit. The procedure to analyze the circuit may be given as follows. Find corresponding logic expression from the given circuit. Create truth table by applying all possible input combinations. From the truth table canonical form of Boolean function can be obtained.

2 Using the truth table and Boolean functions, we can determine the function of the circuit. Example: Analyze the following circuit and find the function of the circuit. Figure 4.2 Let us redraw the circuit by labeling each and every gate output.

3 Figure 4.3 After labeling every logic gate output, write Boolean function for every label. T1 = ABC F1 = T1+T7 T2 = A+B+C F1 = ABC+(A+B+C)(AB+BC+AC) T3 = AB F2 = T3+T4+T5 T4 = AC F2 = AB+BC+AC T5 = BC T6 = F2 T7 = T2.T6 T7 = (A+B+C)(F2 ) T7 = (A+B+C)(AB+BC+AC) Using Boolean laws and theorems, simplify the F1. F1 = ABC+(A+B+C)(AB+BC+AC) = ABC+(A+B+C)(AB) (BC) (AC) using DeMorgan s theorem = ABC+(A+B+C)(A +B )(B +C )(A +C ) using DeMorgan s theorem again = ABC+(AA +AB +A B+BB +A C+B C)(A B +B C +A C +C C )

4 = ABC+(0+AB +A B+0+A C+B C)(A B +B C +A C +C ) = ABC+(AB +A B+A C+B C)(A B +B C +A C +C ) = ABC+(AB +A B+A C+B C)(A B +B C +C (1+A )) = ABC+(AB +A B+A C+B C)(A B +B C +C ) because 1+A = 1 = ABC+(AB +A B+A C+B C)(A B +C (1+B )) = ABC+(AB +A B+A C+B C)(A B +C ) because 1+B = 1 = ABC+(AB A B +A BA B +A CA B +B CA B +AB C +A BC +A CC +B CC = ABC+(0+0+A B C+AB C +A BC +0+0) = ABC+A B C+AB C +A BC = m7+m1+m4+m2 F2 = (1, 2, 4, 7) Using F1 and F2 draw the truth table for the given circuit. Table 4.1 By looking the truth table, we can find that F1 output is equal to sum of full adder and F2 output is equal to carry of full adder output. So the given circuit functions as full adder.

5 Example: Analyze the following circuit and find the function of circuit. Figure 4.4 Let us redraw the circuit by labeling each and every gate output. Figure 4.5 After labeling every logic gate output, write Boolean function for every label. T1 = x T2 = y T3 = T1.y = x.y T4 = T2.x = xy T5 = w.z T6 = T5.x.y = wxyz F1 = T3+T4+T5 F1 = x y+xy +wz F2 = (F1+T6) F2 = (x y+xy +wz+wxyz)

6 Simplify the Boolean functions using basic theorems. F2 = (x y+xy +wz+wxyz) = (x y) (xy ) (wz) (wxyz) = (x+y )(x +y)(w +z )(w +x +y +z ) = (ww +x+y )(ww +x +y)(w +xx +z )(w +x +y +z ) = (w+x+y )(w +x+y )(w+x +y)(w +x +y)(w +x+z)(w +x +z )(w +x +y +z ) = (w+x+y +zz )(w +x+y +zz )(w+x +y+zz )(w +x +y+zz )(w +x+yy +z)(w +x +yy +z )(w +x + y +z ) =(w+x+y +z)(w+x+y +z )(w +x+y +z)(w +x+y +z ) (w+x +y+z)(w+x +y+z )(w +x +y+z)(w +x +y+z )(w +x+y+z)(w +x+y +z)(w +x +y+z)(w +x +y +z )(w +x +y +z ) =(w+x+y +z)(w+x+y +z )(w +x+y +z)(w +x+y +z ) (w+x +y+z)(w+x +y+z )(w +x +y+z)(w +x +y+z )(w +x+y+z))(w +x +y +z ) = M2.M3.M10.M11.M4.M5.M12.M13.M8.M15 = (2, 3, 4, 5, 8, 10, 11, 12, 13, 15) = (0, 1, 6, 7, 9, 14) Using F1 and F2 draw the truth table for the given circuit.

7 Table 4.2

8 4.3 Design Procedure The design of combinational circuit starts from a specification of the problem and ends in a logic diagram. The procedure involves the following steps: 1. From the specifications of the circuit, determine the required number of inputs and outputs, and assign a letter symbol to each. 2. Derive the truth table that defines the required relationship between inputs and outputs. 3. Obtain the simplified Boolean functions of each output as function of the input variables. 4. Draw the logic diagram. 5. Verify the correctness of the design. 4.4 Adders Half adder Half adder adds two one bit numbers. Suppose if we label the inputs as x and y (where x and y are one bit numbers), we will get maximum of two bit output. Remember that while adding two numbers of n bits the output will have (n+1) bits. So while adding two one bit numbers we get two bit output. One bit is sum and another bit is carry occurred while addition. So give the label name as s (sum) and c (carry). Figure 4.6 Let us form a truth table for the half adder.

9 Table 4.3 Obtain the canonical form of Boolean function from the truth table for each output. The sum output (s) is 1 for inputs 1 & 2. The carry output (c) is 1 for input 3. S = (1,2) = m1+m2 = x y+xy C = (3) = m3 = xy We will try to simplify this Boolean function using Karnaugh map. Figure 4.7 From the K-map we find that the Boolean function cannot be simplified further. So the logical expression for the half adder circuit is S = (1,2) = m1+m2 = x y+xy C = (3) = m3 = xy Using these logical expression draw the logical circuit for half adder.

10 Figure 3.8 As S = x y+xy, which is function of XOR gate, we can replace NOT, AND, OR gate by XOR gate and simplify the circuit. Full adder Figure 3.9 The full adder circuit adds three one-bit binary numbers (x, y, z) and outputs two one-bit binary numbers a sum (S) and a carry (C). Figure 3.10

11 Let us form a truth table for the full adder. Table 4.3 Obtain the canonical form of Boolean function from the truth table for each output. S = (1, 2, 4, 7) = m1+m2+m4+m7 = x y z+x yz +xy z +xyz C = (3, 5, 6, 7) = m3+m5+m6+m7 = x yz+xy z+xyz +xyz Simplify this Boolean function using Karnaugh map. Figure 4.11 From the K-map, simplified Boolean function is S = x y z+x yz +xy z +xyz C = xy+yz+xz Using these logical expression draw the logical circuit for full adder.

12 Figure 4.12 Full adder using two Half adders: We can design a full adder using two half adders also. In a half adder we can add two one-bit numbers and get two one-bit output sum and carry. By adding output of sum with third input we can make it as full adder. Full adder carry output present if any one half adder produces carry. This can be done by using OR gate. Figure 4.13

13 By replacing half adder by its logic circuit we can obtain the full adder circuit using two half adders and one OR gate. Binary adder Figure 4.14 An n-bit adderis a circuit which adds two n-bits numbers, say, A and B. In addition, an n- bit adder will have another single-bit input which is added to the two numbers called the carry-in (Cin). The output of the n-bit adder is an n-bit sum(s) and a carry-out (Cout) bit. The block diagramof the n-bit adder is shown. Figure 4.15 Ifall input bits ofthe two numbers (A& B)are applied simultaneously in parallel, theadder is termed a Parallel Adder. Consider the problemof designing a 4-bit binary parallel adder. The total number of inputs is 9, since the two numbers have 4-bits each in addition tothe Cinbit. Using conventional techniques for design would require a truth table of 2 9 =512 rows. This causes the conventional design procedure tobe unacceptable in this case. Alternatively, the 4-bit binary parallel adder can be designed using 4 full adders connected in-cascade asshown in the figure. B3 A3 B2 A2 B1 A1 B0 A0 C out Full Adder Full Adder Full Adder Full Adder C in S3 S2 S1 S0

14 That is the carry-outbit of one full adder stage is used as carry-ininput tothe next stage. In general, ann-bit binaryparallel adder can be built out ofnfull adders connected in cascade. Since a carry of 1 may appear near the least significant bit ofthe adder and yet propagate through many full adders to the mostsignificant bit, justas a wave ripples outward from a stone hit in a pond. That is why this parallel adder is also called as ripple carry adder. BCD adder Iftwo BCD digits are added then their sumresult will not always be in BCD. Consider the given examples = = = 8 Correct Result: Result is BCD 0110 = = = 10 Wrong Result: Result is not BCD number In the first example, result is in BCD while in the second example it is not in BCD. Four bits are needed torepresent all BCD digits (0 9). But with four bits we canrepresent up to 16 values(0000 through 1111). The extra six values (1010 through 1111) are not valid BCD digits. Whenever the sumresult is >9, it will not be in BCD and will requirecorrection to get a valid BCD result.

15 Table 4 Correction is done through the addition of 6 to the resultto skip the six invalid valuesas shown in the truth table by shaded area. Consider the given examples of non-bcdsumresultanditscorrection = = = = 6 Non BCD number BCD Correction = 12 BCD Number

16 A BCD adder is a circuit that adds two BCD digits in parallel and produces a sumbcd digitand a carry out bit. Themaximum sum resultofabcd inputadder can be 19. As maximumnumber in BCD is 9 and may be there will be a carry fromprevious stage also, so = 19. The following truth table shows allthe possible sumresultswhen two BCD digits are added.

17 Table 4.5 The logic circuit that checks the necessarybcd correction can be derived by detecting the condition where the resulting binary sum is through (decimal 10 through 19). It can be done by considering the shown truth table, in which the function Fis true when the digit is not a valid BCD digit. It canbe simplified using a 5-variable K-map. But detecting values 1010 through 1111 (decimal 10 through 15)can also be done by using a 4-variable K-map as shown in the figure.

18 Figure 4.16 F = z 3 z 2 +z 1 z 0 Values greater than 1111, i.e., from10000 through 10011(decimal 16 through 19)can be detected by the carry out (CO) which equals 1 only for these output values. So, F = CO = 1 for these values. Hence, Fis truewhen COis true OR when (Z3Z2+ Z3 Z1) is true. Thus, the correction step (adding 0110) is performed if the following function equals 1: F = CO + Z3Z2+ Z3 Z1 The circuit ofthe BCD adder willbe as shown in the figure. Figure 4.17

19 The two BCD digits, together with the input carry, are first added in the top 4-bit binaryadder to produce the binary sum.the bottom4-bit binary adder is used to add the correction factor to the binary result of the top binary adder. 4.5 Subtractors Half subtractor A half subtractoris an arithmetic circuit that subtracts two bits and produces their difference. The circuit has two inputs minuend (X) and subtrahend (Y) and two output bits, one is the difference bit (D) and the other is the borrow bit (B). Figure 4.18 Let us form a truth table for the half adder. Table 4.6 Obtain the canonical form of Boolean function from the truth table for each output. D = (1,2) = m1+m2 = x y+xy B = (1) = m1 = x y We will simplify this Boolean function using Karnaugh map.

20 Figure 4.19 From the K-map we find that the Boolean function cannot be simplified further. So the logical expression for the half subtractor circuit is D = (1,2) = m1+m2 = x y+xy B = (3) = m3 = xy Using these logical expression draw the logical circuit for half subtractor. Figure 4.20 As S = x y+xy, which is function of XOR gate, we can replacenot, AND, OR gate by XOR gate and simplify the circuit.

21 Figure 4.21 Full subtractor A full subtractoris a combinational circuit that performsa subtraction between two bits,taking into account that a 1 may have been borrowed by a lower significant bit. Figure 4.22 Let us form a truth table for the full subtractor. Table 4.7

22 Obtain the canonical form of Boolean function from the truth table for each output. D = (1, 2, 4, 7) = m1+m2+m4+m7 = x y z+x yz +xy z +xyz B = (1, 2, 3, 7) = m1+m2+m3+m7 = x y z+x yz +x yz+xyz Simplify this Boolean function using Karnaugh map. Figure 4.23 From the K-map, simplified Boolean function is D = x y z+x yz +xy z +xyz B = x y+yz+x z Using these logical expression draw the logical circuit for full subtractor. Figure 4.24

23 Binary subtractor An n-bit subtractoris a circuit which subtracts two n-bits numbers, say, X and Y. An n-bit subtractor will have another single-bit input which is borrow from previous subtraction process called borrow-in (Bin). The output of the n-bit subtractor is an n-bit difference (D) and a borrow-out (Bout) bit. The block diagramof the n-bit subtractor is shown. Figure 4.25 Consider the problemof designing a 4-bit binary subtractor. The total number of inputs is 9, since the two numbers have 4-bits each in addition tothe Binbit. Using conventional techniques for design would require a truth table of 2 9 =512 rows. This causes the conventional design procedure tobe unacceptable in this case. Alternatively, the 4-bit binary adder can be used as 4-bit binary subtractor by using 2 s complement procedure. As we have discussed in chapter1, 2 s complement subtraction process is accomplished by 2 s complementing the subtrahend and adding it to the minuend. Figure 4.26 Carry-outbit of most significant full adder stage can be ignored as we have done in 2 s complement subtraction procedure. In general, ann-bit binarysubtractor can be built out ofnfull adders connected in cascade.

24 4.6 Multipliers Multiplication ofbinary numbers is performed in the sameway as with decimal numbers. The multiplicand is multipliedby each bit ofthe multiplier, startingfromthe leastsignificant bit. The result ofeach suchmultiplication forms a partial product. Successive partial productsare shifted one bit to the left. The product is obtained by adding these shifted partial products. Example: Consider an example of multiplication of two numbers, say A and B (2 bitseach),c = AxB. The first partial product isformed by multiplying theb1b0bya0. The multiplication oftwo bits suchasa0andb0produces a 1 if both bits are 1;otherwise it produces a 0 like an AND operation. So the partial products can be implemented with AND gates. The second partial product is formed by multiplying the B1B0byA1and is shifted one position to the left. B1 B0 X A1 A0 A0B1 A0B0 A1B1 A1B0 C3 C2 C1 C0 The two partial products are added with two half adders (HA). Usually there are more bits in the partial products, and thenit will benecessary to use FAs. Figure 4.27 The least significant bit of the product does nothave to go through anadder, since it isformed by the output of the first AND gate as shown in the Figure. A binary multiplier with more bits canbe constructed in a similar manner.

25 Example: Consider the example of multiplying two numbers; say A (3-bit number) and B (4- bitnumber). Each bit of A (the multiplier) is ANDed with each bit of B (the multipcand) as shown inthe Figure. B3 B2 X A2 A1 B1 B0 A0 A0B3 A0B2 A0B1 A0B0 A1B3 A1B2 A1B1 A1B0 A2B3 A2B2 A2B1 A2B0 C6 C5 C4 C3 C2 C1 C0 The binary output in each level of AND gates areadded in parallel withthe partialproduct of the previous level to forma new partial product. The last level produces the finalproduct. Figure 4.28

26 4.7 Code convertors Code converters are circuits which translate information from one binary code to another code. Consider, for example, a Binary to gray code converter. Binary to gray code converter: In binary to gray code converter, the input is 4-bit binary code (0000 to 1111) and the output is equivalent gray code. So assign labelto each of the input and output. To mention binary input, B 3, B 2, B 1, B 0 are given as label name and to mention gray code output G 3, G 2, G 1, G 0 are given as label. Let us first develop the truth table for this code converter. Table 4.8 Next stage is to obtain the Boolean function for each output from the truth table. G3 = (8, 9, 10, 11, 12, 13, 14, 15) G2 = (4, 5, 6, 7, 8, 9, 10, 11) G1 = (2, 3, 4, 5, 10, 11, 12, 13) G0 = (1, 2, 5, 6, 9, 10, 13, 14) Simplify these Boolean functions using Karnaugh map.

27 Figure 4.29 Simplified Boolean expressionsare G3 = B3 G2 = B3 B2+B3B2 G1 = B2B1 +B2 B1 G0 = B1B0 +B1 B0 By looking the Boolean expressions for G2, G1 and G0, we know that they are exclusive- OR functions. So we can replace XOR function instead of basic logic functions and circuit can be simplified further. G3 = B3 G2 = B3 B2 G1 = B2 B1 G0 = B1 B0 Draw the logic diagram for binary to gray converter from these Boolean expressions.

28 Figure 4.30 Example: BCD to excess-3 Code Converter In this problem, the input is a BCD codeword. Since this is a 4-bit code that represents a decimal digit (0-to-9), there will be 4 input bits which will be represented by four input variables A,B,C, and D. Output is a 4-bit excess-3 code (W, X, Y,Z). Having defined the inputs andoutputs, we proceed to build the truth table for this code converter. The truth table, lists the values of the output (that is the excess-3 code) for all possible combinations of the binary code. Note that, these codes are for decimal digits 0-9. In other words, even though the 4 bits of the input can represent up to 16 different combinations, ONLY 10 combinations are used torepresent the 10 decimal digits. Thus, a total of 6 input combinations are not likely to occur. Since these inputs will never occur, we use don t cares for the corresponding output codes.

29 Table 4.9 Decimal Input Output digits A B C D W X Y Z x x x x 11 x x x x 12 x x x x Invalid Inputs 13 x x x x 14 x x x x 15 x x x x Boolean expressions for the outputs are W = (5, 6, 7, 8, 9)+d(10, 11, 12, 13, 14, 15) X = (1, 2, 3, 4, 9) + d (10, 11, 12, 13, 14, 15) Y = (0, 3, 4, 7, 8) + d (10, 11, 12, 13, 14, 15) Z = (0, 2, 4, 6, 8) + d (10, 11, 12, 13, 14, 15) As the procedure, we will minimize thefour output functions using K-maps. Thus we will be having four K-maps, one for each outputfunction. Each of these K-maps are given below

30 Figure 4.31 BCD to Excess-3 code converter circuit diagram is given below.

31 Figure Introduction to hardware description language The hardware description language is a programming language to describe the hardware and its initial purpose was to simulate the logic circuit and design of logic circuit could be verified before implementing the logic circuit in hardware. Now logic synthesis tools are available to directly implement the hardware from Hardware Description Language (HDL). HDL allows the user to describe the logic circuit in writing programming language and run the program to simulate the logic circuit. If the desired output is not obtained then debug the program to correct the mistake. After getting the desired simulation output, we can implement the logic circuit in hardware. HDL allows the user to implement the logic circuit in hardware much faster than the conventional method and reduces the cost of the circuit. The conventional method was to design the circuit and implement it in hardware. If the desired output is not obtained then debug the design then once again implement it. The disadvantage of this conventional method is overcome by using HDL. HDL combined with Field Programmable Gate Array (FPGA) chips we can implement large complex circuits (millions of logic gates) easily. Types of HDL There are many different types of Hardware Description Language (HDL). Some of HDLs are given below. Verilog HDL ABEL VHDL

32 VHDL means Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL). VHDL is the large standard HDL developed by US DoD. VHDL is mostly used in industrial standards. Verilog HDL is the second large standard HDL. Verilog programs are mostly used for teaching and simple applications. Verilog HDL is easier to use as it used C like syntaxes. Verilog was developed as proprietary language in 1985 and then it was opened as public domain in Verilog became IEEE standard HDL in Verilog HDL Verilog constructs use defined keywords like and, or, not, wire, input, output etc. module is one important construct which has inputs and outputs. Modules can be built up using Verilog primitives or user defined primitives. All the keywords must be in lowercase letters as Verilog is case sensitive language. Variable name can be defined as like in C language. Example 1: Figure 4.33 Now we are going to describe this circuit using Verilog HDL. As first step we have to assign label for each and every gate and interconnections. After labeling the AND gate, NOT gate, OR gate by g1, g2, g3 respectively and interconnection as e, the circuit can be redrawn as follows. Figure 4.34 module example_circuit1 (A, B, C, x, y); input A, B, C; output x, y; wire e; and g1 (e, A, B); not g2 (y, C);

33 or g3 (x, e, y); endmodule The program starts with the keyword module and ends with the keyword endmodule. Interconnections are named with wire. There are three inputs named with input keyword and two outputs named with the keyword output. All the lines must be terminated by semicolon except endmodule. Test bench In order to simulate the circuit, we have to give some inputs to obtain the output from the circuit. The inputs given to the circuits are often called as circuit stimulus. An HDL module can be written to provide the circuit stimulus. The module written for circuit stimulus is known as test bench. Test bench module includes the module to be tested. There are no keyword input and output for test bench module. The inputs to test the circuit are defined with the keyword reg and the outputs with the keyword wire. The input binary values are specified with the keyword initial. If more than one inputs need to be given to test the circuit, we can use the keyword begin and end. The notation A = 1 b0; is used to give the binary input. Here A is the input variable name which is one bit value and A = 0. The end of simulation is specified with $finish. module stimcircuit; reg A, B, C; wire x, y; example_circuit1 ec (A, B, C, x, y); initial begin #100 #100 A = 1 b0; B = 1 b0; C = 1 b0; A = 1 b1; B = 1 b0; C = 1 b1; $finish; end endmodule #100 is used to create 100ns delay to execute the next line. So initially the inputs A= 0, B= 0, C = 0 will be applied as inputs to the circuit. After 100ns the inputs will be changed to A = 1, B = 0, C = 1. Again after 100ns the simulation will be end with the line $finish.

34 Example 2: Let us consider the same circuit as in example1. But now the propagation delay of the logic gates is taken into account. What is meant by propagation delay? Propagation delay Propagation delay of a logic gate is the time required to produce the output after applying the inputs to the logic gate. Generally the propagation delay will be in nanoseconds. Figure 4.35 To simulate a circuit in a real world environment it is important to include propagation delay. Let us assume the logic gates shown in the circuit have some propagation delay. Component delays are denoted by the symbol # (hash) in the programs. The circuit with delays is shown below. Figure 4.36 module circuit_with_delay (A,B,C,x,y); input A,B,C; output x,y; wire e; and #(30) g1(e,a,b); or #(20) g3(x,e,y); not #(10) g2(y,c); endmodule In this example AND gate is having 30ns propagation delay, OR gate with 20ns propagation delay and NOT gate with 10ns propagation delay. Now we will see the effect of delay in the output of the circuit. Assume that the input to the circuit is initially 000 and then changed to 111.

35 Table 4.10 Time (ns) Input Output A B C y e x < When the inputs are 000, the output x and y are 11. If the inputs are changed to 111, then the expected output x and y are 1 and 0 respectively. But because of effect of propagation delay the output is switched from 11 to 10 to 00 to 10. The output goes to unstable state before getting the final output which is unwanted thing happened due to the propagation delay. Primitive The standard logic gates are defined in Verilog systems which are called as system primitives. For example, the keyword and, or, not are called as system primitives. Apart from these system primitives, user also can define their own primitives which are called as User Defined Primitive (UDP). UDP must have only one output. UDPs are defined by truth table. primitive crctp (x,a,b,c); output x; input A,B,C; //Truth table for x(a,b,c) table // A B C : x (Note -comment) : 1; : 0; endtable endprimitive : 1; : 0; : 1; : 0; : 1; : 1;

36 After defining Primitive, UDP can be used in the module by its name. Note that primitive is not a module. 4.8 Summary The combinational circuit is a circuit which produces the output depends upon the present combinations of inputs only. The combinational circuit is not having any feedback or memory elements in it. The combinational circuit analysis procedure is explained with example. The analysis of the circuit is that given a circuit in hand, we have to say the function of the circuit. The design of the circuit is reverse process of analysis. Given the requirement specifications of the circuit, we have to obtain the circuit using logic gates. We have designed arithmetic circuits like half adder, full adder, binary adder, BCD adder, half subtractor, full subtractor, binary subtractor and multiplier in detail. Half adder is the circuit which adds two bits (say x and y) and produces the sum and carry. Full adder is the circuit which adds three bits (say x, y and z) and produces the sum and carry. Binary adder which adds two binary numbers each having n bits. Then we have designed circuit to convert one binary code to other code. We have considered the examples of converting binary to gray code converter, BCD to Excess3 code converter. In the similar manner we can design any code converter circuit. Finally we have introduced the Hardware Description Language (HDL). In HDL, Verilog is the most used HDL for simple circuit design. HDL is used to design the logic circuit in fast and cost efficient way by simulating the design before implementing the circuit in hardware. We have taken a simple circuit and written the Verilog programs. Review Questions 1. Design a combinational circuit with three inputs and one output. The output is equal to logic-l when the binary value of the input is less than 3. The output is logic-o otherwise. 2. A majority function is generated in a combinational circuit when the output is equal to I if the input variables have more 1 's than a's. The output is a otherwise. Design a 3-input majority function. 3. A combinational circuit produces the binary sum of two 2-bit numbers, XtXO and y,yo. The outputs are C, St, and So. Provide a truth table of the combinational circuit. 4. Show that a full-subtractor can be constructed with two half-subtractors and an OR gate. 5. Design a combinational circuit with three inputs and six outputs. The output binary number should be the square of the input binary number. 6. Design a code converter that converts a decimal digit from the code to BCD. 7. Design a combinational circuit that converts a decimal digit from the code to the code.

37 8. Derive the truth table of the circuit shown in Fig. Figure Determine the Boolean functions for outputs F and G as a function of four inputs, A, B, Cand D. Figure Design a combinational circuit that converts a 4-bit Gray code number to a 4-bit straight binary number. Implement the circuit with exclusive-or gates.

LOGIC CIRCUITS. Kirti P_Didital Design 1

LOGIC CIRCUITS. Kirti P_Didital Design 1 LOGIC CIRCUITS Kirti P_Didital Design 1 Introduction The digital system consists of two types of circuits, namely (i) Combinational circuits and (ii) Sequential circuit A combinational circuit consists

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 2 COMBINATIONAL LOGIC Combinational circuits Analysis

More information

Digital Logic Design. Outline

Digital Logic Design. Outline Digital Logic Design Gate-Level Minimization CSE32 Fall 2 Outline The Map Method 2,3,4 variable maps 5 and 6 variable maps (very briefly) Product of sums simplification Don t Care conditions NAND and NOR

More information

CENG 241 Digital Design 1

CENG 241 Digital Design 1 CENG 241 Digital Design 1 Lecture 5 Amirali Baniasadi amirali@ece.uvic.ca This Lecture Lab Review of last lecture: Gate-Level Minimization Continue Chapter 3:XOR functions, Hardware Description Language

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

COMBINATIONAL LOGIC CIRCUITS

COMBINATIONAL LOGIC CIRCUITS COMBINATIONAL LOGIC CIRCUITS 4.1 INTRODUCTION The digital system consists of two types of circuits, namely: (i) Combinational circuits and (ii) Sequential circuits A combinational circuit consists of logic

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

Objectives: 1- Bolean Algebra. Eng. Ayman Metwali

Objectives: 1- Bolean Algebra. Eng. Ayman Metwali Objectives: Chapter 3 : 1- Boolean Algebra Boolean Expressions Boolean Identities Simplification of Boolean Expressions Complements Representing Boolean Functions 2- Logic gates 3- Digital Components 4-

More information

UNIT II - COMBINATIONAL LOGIC Part A 2 Marks. 1. Define Combinational circuit A combinational circuit consist of logic gates whose outputs at anytime are determined directly from the present combination

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Chapter 3 Simplification of Boolean functions

Chapter 3 Simplification of Boolean functions 3.1 Introduction Chapter 3 Simplification of Boolean functions In this chapter, we are going to discuss several methods for simplifying the Boolean function. What is the need for simplifying the Boolean

More information

IT 201 Digital System Design Module II Notes

IT 201 Digital System Design Module II Notes IT 201 Digital System Design Module II Notes BOOLEAN OPERATIONS AND EXPRESSIONS Variable, complement, and literal are terms used in Boolean algebra. A variable is a symbol used to represent a logical quantity.

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

Experiment 4 Boolean Functions Implementation

Experiment 4 Boolean Functions Implementation Experiment 4 Boolean Functions Implementation Introduction: Generally you will find that the basic logic functions AND, OR, NAND, NOR, and NOT are not sufficient to implement complex digital logic functions.

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

Simplification of Boolean Functions

Simplification of Boolean Functions Simplification of Boolean Functions Contents: Why simplification? The Map Method Two, Three, Four and Five variable Maps. Simplification of two, three, four and five variable Boolean function by Map method.

More information

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library 3.1 Combinational Circuits 2 Chap 3. logic circuits for digital systems: combinational vs sequential Combinational Logic Design Combinational Circuit (Chap 3) outputs are determined by the present applied

More information

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93

Combinational Logic. Prof. Wangrok Oh. Dept. of Information Communications Eng. Chungnam National University. Prof. Wangrok Oh(CNU) 1 / 93 Combinational Logic Prof. Wangrok Oh Dept. of Information Communications Eng. Chungnam National University Prof. Wangrok Oh(CNU) / 93 Overview Introduction 2 Combinational Circuits 3 Analysis Procedure

More information

Gate-Level Minimization

Gate-Level Minimization MEC520 디지털공학 Gate-Level Minimization Jee-Hwan Ryu School of Mechanical Engineering Gate-Level Minimization-The Map Method Truth table is unique Many different algebraic expression Boolean expressions may

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

Summary. Boolean Addition

Summary. Boolean Addition Summary Boolean Addition In Boolean algebra, a variable is a symbol used to represent an action, a condition, or data. A single variable can only have a value of or 0. The complement represents the inverse

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions 1. Convert the following SOP expression to an equivalent POS expression. 2. Determine the values of A, B, C, and D that make

More information

Gate Level Minimization Map Method

Gate Level Minimization Map Method Gate Level Minimization Map Method Complexity of hardware implementation is directly related to the complexity of the algebraic expression Truth table representation of a function is unique Algebraically

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines The Map Method

More information

Chapter 3. Boolean Algebra and Digital Logic

Chapter 3. Boolean Algebra and Digital Logic Chapter 3 Boolean Algebra and Digital Logic Chapter 3 Objectives Understand the relationship between Boolean logic and digital computer circuits. Learn how to design simple logic circuits. Understand how

More information

Chapter 4. Combinational Logic. Dr. Abu-Arqoub

Chapter 4. Combinational Logic. Dr. Abu-Arqoub Chapter 4 Combinational Logic Introduction N Input Variables Combinational Logic Circuit M Output Variables 2 Design Procedure The problem is stated 2 The number of available input variables & required

More information

Chapter 3 Part 2 Combinational Logic Design

Chapter 3 Part 2 Combinational Logic Design University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 3 Part 2 Combinational Logic Design Originals by: Charles R. Kime and Tom

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS

DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS C H A P T E R 6 DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS OUTLINE 6- Binary Addition 6-2 Representing Signed Numbers 6-3 Addition in the 2 s- Complement System 6-4 Subtraction in the 2 s- Complement

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Experiment 7 Arithmetic Circuits Design and Implementation

Experiment 7 Arithmetic Circuits Design and Implementation Experiment 7 Arithmetic Circuits Design and Implementation Introduction: Addition is just what you would expect in computers. Digits are added bit by bit from right to left, with carries passed to the

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

2.6 BOOLEAN FUNCTIONS

2.6 BOOLEAN FUNCTIONS 2.6 BOOLEAN FUNCTIONS Binary variables have two values, either 0 or 1. A Boolean function is an expression formed with binary variables, the two binary operators AND and OR, one unary operator NOT, parentheses

More information

Eric Blasko Dr. Tong Yu CSE-310 digital logic Spring 2018 Homework 3, due 5/14/2018 ( Mon ) 12 pm

Eric Blasko Dr. Tong Yu CSE-310 digital logic Spring 2018 Homework 3, due 5/14/2018 ( Mon ) 12 pm Eric Blasko Dr. Tong Yu CSE310 digital logic Spring 2018 Homework 3, due 5/14/2018 ( Mon ) 12 pm 1. (15 points) Write a Verilog program that simulates the outputs of graycodetobinarycode converter. First

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 1: Introduction* Prof. Mingjie Lin 1 Overview What is an digital embedded system? Why HDL (Hardware Description Language)? Why take this course? Class mechanics

More information

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology LSN 4 Boolean Algebra & Logic Simplification Department of Engineering Technology LSN 4 Key Terms Variable: a symbol used to represent a logic quantity Compliment: the inverse of a variable Literal: a

More information

2008 The McGraw-Hill Companies, Inc. All rights reserved.

2008 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. All or Nothing Gate Boolean Expression: A B = Y Truth Table (ee next slide) or AB = Y 28

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Signals and Wires Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate digital signals

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai L T P C R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai- 601206 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC8392 UNIT - I 3 0 0 3 OBJECTIVES: To present the Digital fundamentals, Boolean

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

*Instruction Matters: Purdue Academic Course Transformation. Introduction to Digital System Design. Module 4 Arithmetic and Computer Logic Circuits

*Instruction Matters: Purdue Academic Course Transformation. Introduction to Digital System Design. Module 4 Arithmetic and Computer Logic Circuits Purdue IM:PACT* Fall 2018 Edition *Instruction Matters: Purdue Academic Course Transformation Introduction to Digital System Design Module 4 Arithmetic and Computer Logic Circuits Glossary of Common Terms

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

Digital Design. Chapter 4. Principles Of. Simplification of Boolean Functions

Digital Design. Chapter 4. Principles Of. Simplification of Boolean Functions Principles Of Digital Design Chapter 4 Simplification of Boolean Functions Karnaugh Maps Don t Care Conditions Technology Mapping Optimization, Conversions, Decomposing, Retiming Boolean Cubes for n =,

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY & COMPUTER SCIENCE AND ENGINEERING QUESTION BANK II SEMESTER CS6201- DIGITAL PRINCIPLE AND SYSTEM DESIGN

More information

Combinational Circuits Digital Logic (Materials taken primarily from:

Combinational Circuits Digital Logic (Materials taken primarily from: Combinational Circuits Digital Logic (Materials taken primarily from: http://www.facstaff.bucknell.edu/mastascu/elessonshtml/eeindex.html http://www.cs.princeton.edu/~cos126 ) Digital Systems What is a

More information

Chapter 3. Gate-Level Minimization. Outlines

Chapter 3. Gate-Level Minimization. Outlines Chapter 3 Gate-Level Minimization Introduction The Map Method Four-Variable Map Five-Variable Map Outlines Product of Sums Simplification Don t-care Conditions NAND and NOR Implementation Other Two-Level

More information

Philadelphia University Faculty of Information Technology Department of Computer Science. Computer Logic Design. By Dareen Hamoudeh.

Philadelphia University Faculty of Information Technology Department of Computer Science. Computer Logic Design. By Dareen Hamoudeh. Philadelphia University Faculty of Information Technology Department of Computer Science Computer Logic Design By Dareen Hamoudeh Dareen Hamoudeh 1 Canonical Forms (Standard Forms of Expression) Minterms

More information

QUESTION BANK FOR TEST

QUESTION BANK FOR TEST CSCI 2121 Computer Organization and Assembly Language PRACTICE QUESTION BANK FOR TEST 1 Note: This represents a sample set. Please study all the topics from the lecture notes. Question 1. Multiple Choice

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 04 Boolean Expression Simplification and Implementation OBJECTIVES: To understand the utilization

More information

X Y Z F=X+Y+Z

X Y Z F=X+Y+Z This circuit is used to obtain the compliment of a value. If X = 0, then X = 1. The truth table for NOT gate is : X X 0 1 1 0 2. OR gate : The OR gate has two or more input signals but only one output

More information

Boolean Logic CS.352.F12

Boolean Logic CS.352.F12 Boolean Logic CS.352.F12 Boolean Algebra Boolean Algebra Mathematical system used to manipulate logic equations. Boolean: deals with binary values (True/False, yes/no, on/off, 1/0) Algebra: set of operations

More information

Class Subject Code Subject Prepared By Lesson Plan for Time: Lesson. No 1.CONTENT LIST: Introduction to UnitI 2. SKILLS ADDRESSED: Listening I year, 02 sem CS6201 Digital Principles & System Design S.Seedhanadevi

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 CDA 3200 Digital Systems Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 Outline Data Representation Binary Codes Why 6-3-1-1 and Excess-3? Basic Operations of Boolean Algebra Examples

More information

Department of Computer Science & Engineering. Lab Manual DIGITAL LAB. Class: 2nd yr, 3rd sem SYLLABUS

Department of Computer Science & Engineering. Lab Manual DIGITAL LAB. Class: 2nd yr, 3rd sem SYLLABUS Department of Computer Science & Engineering Lab Manual 435 DIGITAL LAB Class: 2nd yr, 3rd sem SYLLABUS. Verification of Boolean theorems using digital logic gates. 2. Design and implementation of code

More information

SWITCHING THEORY AND LOGIC CIRCUITS

SWITCHING THEORY AND LOGIC CIRCUITS SWITCHING THEORY AND LOGIC CIRCUITS COURSE OBJECTIVES. To understand the concepts and techniques associated with the number systems and codes 2. To understand the simplification methods (Boolean algebra

More information

Computer Science. Unit-4: Introduction to Boolean Algebra

Computer Science. Unit-4: Introduction to Boolean Algebra Unit-4: Introduction to Boolean Algebra Learning Objective At the end of the chapter students will: Learn Fundamental concepts and basic laws of Boolean algebra. Learn about Boolean expression and will

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C.

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C. Digital Electronics Boolean Function QUESTION BANK. The Boolean equation Y = C + C + C can be simplified to (a) (c) A (B + C) (b) AC (d) C. The Boolean equation Y = (A + B) (A + B) can be simplified to

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES.

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. 1) Simplify the boolean function using tabulation method. F = (0, 1, 2, 8, 10, 11, 14, 15) List all

More information

60-265: Winter ANSWERS Exercise 4 Combinational Circuit Design

60-265: Winter ANSWERS Exercise 4 Combinational Circuit Design 60-265: Winter 2010 Computer Architecture I: Digital Design ANSWERS Exercise 4 Combinational Circuit Design Question 1. One-bit Comparator [ 1 mark ] Consider two 1-bit inputs, A and B. If we assume that

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Computer Organization

Computer Organization Computer Organization (Logic circuits design and minimization) KR Chowdhary Professor & Head Email: kr.chowdhary@gmail.com webpage: krchowdhary.com Department of Computer Science and Engineering MBM Engineering

More information

UNIT-4 BOOLEAN LOGIC. NOT Operator Operates on single variable. It gives the complement value of variable.

UNIT-4 BOOLEAN LOGIC. NOT Operator Operates on single variable. It gives the complement value of variable. UNIT-4 BOOLEAN LOGIC Boolean algebra is an algebra that deals with Boolean values((true and FALSE). Everyday we have to make logic decisions: Should I carry the book or not?, Should I watch TV or not?

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

Chapter 2 Boolean algebra and Logic Gates

Chapter 2 Boolean algebra and Logic Gates Chapter 2 Boolean algebra and Logic Gates 2. Introduction In working with logic relations in digital form, we need a set of rules for symbolic manipulation which will enable us to simplify complex expressions

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

Module -7. Karnaugh Maps

Module -7. Karnaugh Maps 1 Module -7 Karnaugh Maps 1. Introduction 2. Canonical and Standard forms 2.1 Minterms 2.2 Maxterms 2.3 Canonical Sum of Product or Sum-of-Minterms (SOM) 2.4 Canonical product of sum or Product-of-Maxterms(POM)

More information

Chapter 2 Combinational

Chapter 2 Combinational Computer Engineering 1 (ECE290) Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization HOANG Trang 2008 Pearson Education, Inc. Overview Part 1 Gate Circuits and Boolean Equations Binary Logic

More information

Switching Theory & Logic Design/Digital Logic Design Question Bank

Switching Theory & Logic Design/Digital Logic Design Question Bank Switching Theory & Logic Design/Digital Logic Design Question Bank UNIT I NUMBER SYSTEMS AND CODES 1. A 12-bit Hamming code word containing 8-bits of data and 4 parity bits is read from memory. What was

More information

2.1 Binary Logic and Gates

2.1 Binary Logic and Gates 1 EED2003 Digital Design Presentation 2: Boolean Algebra Asst. Prof.Dr. Ahmet ÖZKURT Asst. Prof.Dr Hakkı T. YALAZAN Based on the Lecture Notes by Jaeyoung Choi choi@comp.ssu.ac.kr Fall 2000 2.1 Binary

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 34

Contents. Chapter 3 Combinational Circuits Page 1 of 34 Chapter 3 Combinational Circuits Page of 34 Contents Contents... 3 Combinational Circuits... 2 3. Analysis of Combinational Circuits... 2 3.. Using a Truth Table... 2 3..2 Using a Boolean unction... 4

More information

Gate Level Minimization

Gate Level Minimization Gate Level Minimization By Dr. M. Hebaishy Digital Logic Design Ch- Simplifying Boolean Equations Example : Y = AB + AB Example 2: = B (A + A) T8 = B () T5 = B T Y = A(AB + ABC) = A (AB ( + C ) ) T8 =

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : STLD(16EC402) Year & Sem: II-B.Tech & I-Sem Course & Branch: B.Tech

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN UNIT I: Introduction to Number Systems and Boolean Algebra Digital and Analog Basic Concepts, Some history of Digital Systems-Introduction to number systems, Binary numbers, Number

More information

CO Computer Architecture and Programming Languages CAPL. Lecture 9

CO Computer Architecture and Programming Languages CAPL. Lecture 9 CO20-320241 Computer Architecture and Programming Languages CAPL Lecture 9 Dr. Kinga Lipskoch Fall 2017 A Four-bit Number Circle CAPL Fall 2017 2 / 38 Functional Parts of an ALU CAPL Fall 2017 3 / 38 Addition

More information

Unit-IV Boolean Algebra

Unit-IV Boolean Algebra Unit-IV Boolean Algebra Boolean Algebra Chapter: 08 Truth table: Truth table is a table, which represents all the possible values of logical variables/statements along with all the possible results of

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 3 Combinational Logic Circuits 12 Hours 24 Marks 3.1 Standard representation for logical functions Boolean expressions / logic expressions / logical functions are expressed in terms of logical

More information

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits Software Engineering 2DA4 Slides 2: Introduction to Logic Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital

More information

Binary logic. Dr.Abu-Arqoub

Binary logic. Dr.Abu-Arqoub Binary logic Binary logic deals with variables like (a, b, c,, x, y) that take on two discrete values (, ) and with operations that assume logic meaning ( AND, OR, NOT) Truth table is a table of all possible

More information

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY No part of this publication may be reproduced or distributed in any form or any means, electronic, mechanical, photocopying, or otherwise without the prior permission of the author. GATE SOLVED PAPER Computer

More information

Workshop on Digital Circuit Design in FPGA

Workshop on Digital Circuit Design in FPGA Workshop on Digital Circuit Design in FPGA Session-1 Presented By Mohammed Abdul Kader Assistant Professor, Dept. of EEE, IIUC Email:kader05cuet@gmail.com Website: kader05cuet.wordpress.com The field-programmable

More information

Experiment 3: Logic Simplification

Experiment 3: Logic Simplification Module: Logic Design Name:... University no:.. Group no:. Lab Partner Name: Mr. Mohamed El-Saied Experiment : Logic Simplification Objective: How to implement and verify the operation of the logical functions

More information

Chapter 4. Combinational Logic

Chapter 4. Combinational Logic Chapter 4. Combinational Logic Tong In Oh 1 4.1 Introduction Combinational logic: Logic gates Output determined from only the present combination of inputs Specified by a set of Boolean functions Sequential

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Overview Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard

More information

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey 2. Introduction Logic gates are connected together to produce a specified output for certain specified combinations of input

More information

BOOLEAN ALGEBRA. 1. State & Verify Laws by using :

BOOLEAN ALGEBRA. 1. State & Verify Laws by using : BOOLEAN ALGEBRA. State & Verify Laws by using :. State and algebraically verify Absorption Laws. (2) Absorption law states that (i) X + XY = X and (ii) X(X + Y) = X (i) X + XY = X LHS = X + XY = X( + Y)

More information

DIGITAL ELECTRONICS. P41l 3 HOURS

DIGITAL ELECTRONICS. P41l 3 HOURS UNIVERSITY OF SWAZILAND FACUL TY OF SCIENCE AND ENGINEERING DEPARTMENT OF PHYSICS MAIN EXAMINATION 2015/16 TITLE OF PAPER: COURSE NUMBER: TIME ALLOWED: INSTRUCTIONS: DIGITAL ELECTRONICS P41l 3 HOURS ANSWER

More information