MLR Institute of Technology

Size: px
Start display at page:

Download "MLR Institute of Technology"

Transcription

1 MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN USING VERILOG HDL : A40410 : II - B. Tech : ECE Year : Course Coordinator : Course Faculty OBJECTIVES Mr. M.Srikanth : Ms.A.Deepthi Designing digital circuits at behavioral and RTL modeling of digital circuits using verilog HDL. verifying these models, and synthesizing RTL models to standard cell libraries and FPGAs. Students gain practical experience by designing, modeling, implementing and verifying several digital circuits. This course aims provide students with the understanding of different technologies related to HDLs, constructs, compile and execute verilog HDL programs using provided software tools. Design digital components and circuits that are testable, reusable and synthesizable. Blooms Taxonomy Program S. No QUESTION UNIT-I INTRODUCTION TO VERILOG HDL Define verilog HDL? Remember M List levels of design description in verilog HDL? Remember M Describe is concurrency? Remember N What is simulation and synthesis? Evaluate M What is functional verification? Evaluate N What are system tasks? Evaluate M Write short notes on programming language interface (PLI). Evaluate N What is module? Evaluate N

2 10 What is a simulation and synthesis tool? Evaluate M What is test bench? Evaluate M S. No QUESTION 11. Define keywords and identifiers? Remember N 1. What are white space characters? Evaluate N 13. Define comments and numbers? Remember N 14. Define strings and logic values? Remember N 15. What is a data types? And what are those? Evaluate N 16. Define scalars and vectors? Remember N 17. Define parameters and memory operators? Remember N 18. Define system tasks? Remember N UNIT-II GATE LEVEL MODELING AND MODELING AT DATAFLOW LEVEL 1 What is gate level modeling? Evaluate N What is AND gate primitive? Evaluate M 3 What is module structure? Give the example of module structure. Evaluate N 4 Define tri-state gate? Remember N 5 What is array of instances of primitives? Evaluate M 6 Define delay? Remember N 7 Define strengths and content resolution? Remember N 8 What is a net data type? Evaluate N How many types of net data types? Evaluate N How many tri-state gates are there in verilog? Evaluate M What is continuous assignment structure? Evaluate M What is assignment to vectors? Evaluate N Define operators in verilog? Remember N UNIT-III BEHAVIORAL MODELING

3 1. What is behavioral modeling? Evaluate N. What are operations and assignments? Evaluate N 3. Define functional Bifurcation. Remember N Blooms Taxonomy program S. No QUESTION 4. Define initial construct. Remember M 5. Define always construct. Remember M 6. Explain assignments with delays Understand A 7. Define wait construct Remember N 8 Explain multiple always blocks Understand C. Define blocking and non-blocking assignments Remember N 10. Explain the case statement Understand B 11. Draw a simulation flow chart Analyze N 1. Explain if and if-else construct Understand N 13. Explain assign and de-assign construct. Understand M 14. Define repeat construct Remember M 15. Write the syntax for a for loop Apply M 16. Write the syntax for a while loop and forever loop Apply N 17. Explain parallel blocks Understand C 18 Explain force release construct Understand M UNIT-IV SWITCH LEVEL MODELING, SYSTEM TASKS FUNCTIONS AND COMPILER DIRECTIVES 1. Explain basic transistor switches. Understand C. Define basic switch primitive. Remember M 3. Explain the operation of nmos switch. Understand C 4. Explain the operation of pmos switch. Understand C Define resistive switches. Remember M Define cmos switch. Remember M Explain Bi-Directional gates. Understand C 7. How to insatiate with strength and delays. Understand B 8.. Define system task. Remember N

4 Define parameter. Remember N Explain parameter declaration and assignments. Understand C Define module paths. Remember N Define specify block. Remember N Define system function. Remember N S. No QUESTION 15. Explain $display Task. Understand C 16. Explain file based tasks and functions. Understand C 17. Explain compiler directives. Understand C 18. Define hierarchical access. Remember N UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION, COMPONENT TEST VERIFICATION 1. What are the types of sequential models? Evaluate B. What are the basic memory components? Evaluate N 3. Explain functional register. Understand M 4. Define state machine coding. Remember B 5. How do you explain sequential synthesis? Understand B 6. What is test bench? Evaluate N 7. How to test a combinational circuit. Understand M 8. What is sequential circuit testing? Evaluate M Explain test bench techniques. Understand M 10. Define design verification. Remember M 11. Define assertion verification. Remember M. Group - II (Long Answer Questions) S. No Question UNIT-I INTRODUCTION TO VERILOG HDL

5 1 3 Write short note on Verilog as HDL Understanding N Discuss Level of design description. Demonstrate C Explain top-down design methodology with example. Understand N S. No Question Write short notes on, Apply K 4 (a) Concurrency (b) Functional verification Define the following terms relevant to Verilog HDL, Remember B 5 (a). Simulation versus synthesis. (b). PLI (c). System tasks. what are the system tasks available in Verilog for making and controlling Evaluate K 6 simulation? Explain about, Understand K 7 (a). Display tasks (b). Strobe tasks (c). Monitor tasks with examples. Define the following terms relevant to Verilog HDL. Remember K (a). Module (b). Test bench. Write a syntax functions and tasks with one example. Apply B Explain the synthesis procedure in Verilog HDL. Understand N Give the surfaces for Verilog module and explain gate instantiations with create 11. examples. N 1 UNIT-II GATE LEVEL MODELING AND DATAFLOW LEVEL MODELING Explain in brief built-in primitive gates that are available in Verilog HDL. Understand N Explain NAND gate primitive with Verilog module. Understand N

6 S. No Question Explain NOR gate primitive with Verilog module. Understand K Design a module for addition of 16 bit words. Analyze M Write Verilog module for addition 16 bit words. Apply K What is a three-state gate and explain each type of three-state gate with Evaluate M 6 truth tables? 7 Write a Verilog code for tri-state devices. Apply K Write Verilog HDL source code for a gate level description of 4 to 1 Apply K 8 multiplexer circuit. Draw the relevant logic diagram. Implement Verilog HDL source code and draw the logic diagram of a -to-4 Evaluate B. decoder circuit. Give the gate level description Design module and a test bench for a half-adder. Analyze M Design module and a test bench for a 4 to 1 multiples module. Analyze M Explain simple latch with Verilog module. Understand C Design a RS-flip with NAND gates. Analyze M Write a Verilog code for RS flip-flop with NAND gates. Apply K Explain clocked RS flip-flop Verilog module and test bench. Understand C Design a D-Flip-flop with gate primitives and write its Verilog code. Analyze M Design a D flip flop using NAND gates. Create C Write a Verilog code for D flip flop using NAND gates. Apply M Classify delays and explain. Creating K Explain inertial and intra-assignment delays in Verilog. Understand C Design a JK flip flop using NAND gates. Create B Write a Verilog code for JK flip flop using NAND gates. Apply M Explain the design approach of a master slave flip-flop with gate primitives. Apply M 3. (OR) Design a master slave JK flip-flop using NAND gates. 4. Write a Verilog code for master slave JK flip flop using NAND gates. Apply N

7 S. No Question Design a T flip flop using NAND gates. Create B Write a Verilog code for T flip flop using NAND gates. Apply N Write notes on gate delays with necessary instantiations. Apply N Explain delays with tristate gates. Apply N Classify and explain strength and contention resolution. Creating M Design module to illustrate use if the wand-type net and test bench with Create M 30. stimulation results. Draw the half adder circuits in terms of EX-OR and AND gates. Prepare the Evaluate M 31. half adder module and test bench in terms of and AND gate primitives Design a module and test bench for a full-adder. Create M Design a 4 X 4 multiplier circuit and write its Verilog HDL code. Create B Write a Verilog HDL code for ripple-carry adder using generic specification? Apply M Design a 4 bit full adder using gate level primitives and write its HDL code. Create M Design a 1to 4 demultiplexer module by using to 4 decoder, and white its Create N 36. Verilog code. 37. Explain continuous assignment structures with examples. Understand C 38. Explain about the concurrent statements in data flow level. Give one example to each one. Understand C 3. Explain net delay with assignment delay and effects of net delay with suitable example. Understand C 40. Explain combining assignment and net declarations with examples. Understand B UNIT-III BEHAVIORAL MODELING Write a short note on, Apply M

8 (a). Functional bifurcation (b). Intra-assignment delays. Write the differences between begin-end and fork-blocks with examples. Apply M Design up counter coding procedural assignment. Create M Write up counter test bench, simulation results. Analysis B Write the syntax for the following constructs and Apply K S. No Question give one example for each relevant to behavioral Verilog HDL modeling. (a). initial construct, (b). always construct (c). wait construct. B What is the difference between an intra- statement delay and an inter- remembering M 6 statement delay? explain using an example Write short notes on the following with examples, Apply N (a). Intra-assignment delays (b). Delay assignments (c). Zero delay. What are the advantages of multiple always blocks? Explain with example. remembering M Write a Verilog module for a rudimentary serial transmitter module. Apply K Explain multiple always blocks. Understand C Write a model using the behavioral modeling style to describe the behavior Apply B 11. of a JK flip- flop using an always statement (a). Design Verilog module to identify the highest priority interrupts. Create M (b). Write test bench simulation results of above questions with explanation (a). Design module to convert angels in radians to one in degrees. Create M (b). Write Verilog code above question with explanation. Explain blocking and non-blocking statement with examples. Understand C Write a Verilog HDL code for n-bit shift register with an enable input using Apply K 15. blocking assignments.

9 Draw the flowchart for the simulation flow. OR Explain flowchart for the Understand C 16. simulation flow. 17. Write Verilog code using case statement for any one example. Apply M S. No Question Write the syntax for the following constructs and give one example for each Apply K levant to behavioral Verilog HDL modeling. 18. (a). The case statement (b). If and if-else constructs. 1 Design half subtractor using CMOS switches. Create M Write the Verilog code for half subtractor using CMOS switches. Apply K 3 Design code, test bench, results for CMOS switch with a single control line. Create M 4 Design CMOS flip-flop. Create M 5 Design Verilog module for CMOS flip-flop. Create M Explain bi-directional gates with suitable logic diagrams and give their switch Understand C 6 level modeling 7 Design half -adder using CMOS switches. Create M 8 Write the Verilog code for half adder using CMOS switches. Apply K Write about basic switch primitives. Apply K 10 Write notes on time delays with switch primitives relevant to switch level modeling. understanding B How strength and delays are instantiated? Explain. OR 11 Write notes on instantiations with strength and delays relevant to switch level understanding N modeling. Define and explain the following terms relevant to Verilog HDL, Remember M (a) Module parameters 1 (b) File-based tasks and functions (c) Compiler directives. 13 Explain parameter declaration and assignments. Understand B 14 Explain type declaration for parameters. Understand B 15 Explain automatic(recursive) function. Understand B 16 Explain about module paths. Understand B Define and explain the following terms relevant to Verilog HDL, Remember M (a) Hierarchical access

10 17 (b) Path delays. 18 Explain $ finish task with example. Understand B 1 Explain $ random function with example. Understand B 0 Explain asymmetric sequence generator with example. Understand B UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION 1 What are the various sequential memory storage models? Explain in detail Evaluate N S. No Question about each of them. Explain cross-coupled NOR latch and ALL NAND clocked SR latch with the help Understand of neat sketches and write the Verilog cods for each of them. M Draw the block diagram of master-slave flip-flop constructed using latches analyze B 3 and write the Verilog code for the same. 4 Explain about sequential UDP with the help of an example. Understand C Draw and explain the block diagram of master-slave flip-flop with two analyze B 5 feedback blocks using assign statements. Also write the Verilog code for the same. Explain behavioral modeling for D-type latch and the use of non-blocking Understand B 6 assignments in latch modeling. Also with the Verilog code for each of them. 7 Write and explain the Verilog module for positive edge trigger flip-flop. Understanding M 8 Write a Verilog module for D flip-flop with synchronous control and asynchronous control. And compare the controls of both. Understanding N What is function of fork-join construct? Design a Verilog module for D flipflop using this construct. Evaluate N 10 Write a Verilog code for D flip-flop using assign and deassign statements. Understanding N 11 Define setup time. Write a Verilog code for D flip-flop setup time. Remember n 1 Define hold time. Design a Verilog module for D flip-flop with hold time. Remember n 13 Discuss about setup hold, width and period checks used in Verilog. Write a Verilog module for D flip-flop using setup hold, width and period checks. Remember n Design a Verilog module for the following, (i) 8-bit transparent D-Latch Create M 14 (ii) 8-bit register with tri-state output. 15 How the memory initialization does is carried out in Verilog? Explain with the help of an example. Create M 16 What are the rules to be followed to declare and to use the bidirectional lines? Evaluate N 17 Write a Verilog module for PLA. Understand K 18 What is functional register? Write and explain the Verilog module for basic shift register? Evaluate K 1 Design and explain the Verilog module for universal shift register. Create M Explain about shift register that uses separates combinational and Understand I 0 sequential blocks. Also write a Verilog code for the same.

11 1 Write a Verilog code for 4-binary up-down counter. Understanding N Write a short notes on gray-code counter. Also design a Verilog module for Understanding M the same. 3 Explain about LFSR and design its Verilog module in structural model. Understand M 4 Explain MISR with the help of a neat sketch and also write the Verilog code Understand for the same. K 5 Explain about FIFO Queue with the help of block diagram. Understand N 6 Write a Verilog code for FIFO Queue. Understanding N 7 Write a short notes on Moore 101 sequence detector. And write the Verilog code for the same. Understanding M 8 Explain in brief about Mealy 101 sequence detector. Understand N Explain how the state machine is designed for large number of input-output line. Understand N S. No Question Write a Verilog code for moore detector using Huffman model. Also explain 30 it. Understanding M 31 Explain about ROM-based controller. Write the Verilog code for the same. Understand N Explain about the following with the help of neat block diagram, Understand N 3 (a) Implementation of FPGA latch (b) Implementation of FPGA flip-flop. Write a Verilog module for 4-bit ALU, also obtain its test bench and Understanding N 33 simulation results. 34 Write and explain the test bench for multi input signature register. Understanding N 3. Group - III (Analytical Questions) S.No QUESTIONS UNIT-I INTRODUCTION TO VERILOG HDL Using examples, explain about concurrent and procedural statement with Understand B 1 syntaxes. 3 Explain port declaration with an example using Verilog code. Understand C Explain the components of a Verilog module with block diagram. Understand C Define the following terms relevant to Verilog HDL construct and onventions. Remember N 4 (a). Identifiers (b). Strings (c). Data types.

12 Define the following terms relevant to Verilog HDL constructs and conventions. Remember N 5 (a). Keywords (b). Strengths (c). Parameters. 6 Explain about number system used in Verilog. Understand C Define the following terms relevant to Verilog HDL construct and conventions. Remember N 7 (a). Comments, (b). Scalars and vectors. (b). Scalars and vectors. 8 Write about and differences scalars vectors in Verilog module with examples. Apply K S.No QUESTIONS Using examples, explain about concurrent and procedural statement with comprehension C syntaxes. 10 Explain port declaration with an example using Verilog code. Understand B Define the following terms relevant to Verilog HDL constructs and conventions. Remember N 11 (a). Logic values (b). Operators. 1 Write about white space characters and variables with examples. Apply k UNIT-II GATE LEVEL MODELING AND DATAFLOW LEVEL MODELING

13 1 Write a Verilog HDL code for n-bit right-to-left shift register using data flow Apply K level. Give the list of operations in data flow level and give one example for each one. OR Apply Comprehension k Write short notes for the following with examples. (a) Unary operators (b) Binary operators

14 S.No (c) (d) QUESTIONS Arithmetic operators relevant to behavioral Verilog HDL modeling. Logical operators. (a). assign-deassign construct 3 Explain about (b). repeat operator construct priority with examples. Understand C 4 Explain bit widths of expressions. Understand V 5 Design a (c). Verilog for loop. module for a 4 to 1 vector multiplexer or module at data flow level. Create m Give the block diagram of one digit BCD adder and write its Verilog HDL code. OR Create B,C 6 Design a Verilog module for a BCD adder module at the data flow level. K Write a data flow model for a -bit parity generator circuit. Use only two Apply k 7 assignment statements. Specify rise and fall delays as well. 8 Explain NMOS enhancement with conditions. Understand B Design a Verilog module of a 4-bit bus switcher at the data flow level. Create m 10 Design Verilog module of an edge triggered flip-flop built with the latch at the Create m data flow level. 1 UNIT-III BEHAVIORAL MODELING Write the syntax for the following constructs and give one example for each Apply k

15 Write the syntax for the following constructs and give one example for each Apply k relevant to behavioural Verilog HDL modeling. (a). The disable construct (b). While loop (c). force-release construct Explain about forever loop. Apply k Define while loop, write syntax with flow chart. Remembering m What is the difference between a sequential block and a parallel block? Explain Evaluate N using an example. (a) Design Verilog code of OR gate using for and disable. creating c (b) Write simulation results of above question with explanation. Write syntax for for while loop and write a Verilog code for n bit Johnson Evaluate N counter. Explain event construct in a module. Understand C Explain stratified event queue. Understand C Design Verilog module event construct for a serial data receive and test bench Create m 10 for the same. UNIT-IV SWITCH LEVEL MODELING 1 Explain automatic(re-entrant) tasks with example. Understand C Explain and design Verilog module of timing related parameter with example. Understand C 3 Explain edge sensitive path using an example. Understand C 4 Explain overriding parameters. Understand C 5 Design Verilog module for left/right shifter. Create B 6 Design Verilog module using path delay. Create m 7 (a) Design Verilog module use of specify block to specify out rise end full time Create b

16 S.No QUESTIONS separation for spin delays. (b)write test bench and simulation for the above. K 8 (a) Design the use of group delay with an ALU module. Create m (b) Write test bench and simulation results for the above. What do you mean by User Defined Primitives (UDP) and explain the types with understand N,K examples 10 Give the syntax for function and write a program for 16-to-1 multiplexer using unserstand B function. UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION 1 Design a Verilog module for 101 moore detector and also obtain its test bench. Create m How the simulation of test bench can be controlled? Explain with help of an Understanding N example. 3 Write a test bench for moore detector for synchronized data input. Understand B 4 Write a test bench for moore detector to display the synchronization result. Understanding N 5 Write a test bench for moore detector to observe its states. Understanding N 6 Write a Verilog module for 1101 moore detector. Also obtain its test bench and Understanding N simulation results. 7 Write an interactive test bench for 1101 moore detector using display tasks. Understanding N 8 Write a test bench for moore detector to control the delay. Understanding N Write a test bench for moore detector which makes uses of buffer to hold the Understanding N data. 10 Explain in detail about formal verification of a system. understand C 11 Write in detail about assertion verification. Also give its benefits. Understanding N 1 What is the function of assert_always monitor? Explain with the help of an Evaluate B example. 13 Explain the assert_change and assert_one_hot monitor with the help of an Understand ib example. What is the use of assert_cycle_sequence and assert next? Explain using an Evaluate N 14 example. 15 With the help of an example explain about the resetting sequqnce of controller. Evaluate N Explain the following, Understand C (i) Initial resetting 16 (ii) Assert_implication. 17 How the valid states of a machine can be checked? Explain using an example. Understand C

17 S.No QUESTIONS separation for spin delays. (b)write test bench and simulation for the above. K 8 (a) Design the use of group delay with an ALU module. Create m (b) Write test bench and simulation results for the above. examples What do you mean by User Defined Primitives (UDP) and explain the types with understand N,K 10 Give the syntax for function and write a program for 16-to-1 multiplexer using unserstand B function. SEQUENTIAL CIRCUIT DESCRIPTION UNIT-V 1 Design a Verilog module for 101 moore detector and also obtain its test bench. Create m How the simulation of test bench can be controlled? Explain with help of an Understanding N example. 3 Write a test bench for moore detector for synchronized data input. Understand B 4 Write a test bench for moore detector to display the synchronization result. Understanding N 5 Write a test bench for moore detector to observe its states. Understanding N Write a Verilog module for 1101 moore detector. Also obtain its test bench and Understanding N 6 simulation results. 7 Write an interactive test bench for 1101 moore detector using display tasks. Understanding N 8 Write a test bench for moore detector to control the delay. Understanding N Write a test bench for moore detector which makes uses of buffer to hold the data. Understanding N 10 Explain in detail about formal verification of a system. understand C 11 Write in detail about assertion verification. Also give its benefits. Understanding N What is the function of assert_always monitor? Explain with the help of an Evaluate B 1 example. Explain the assert_change and assert_one_hot monitor with the help of an Understand ib 13 example. What is the use of assert_cycle_sequence and assert next? Explain using an Evaluate N 14 example. 15 With the help of an example explain about the resetting sequqnce of controller. Evaluate N Explain the following, Understand C (i) Initial resetting 16 (ii) Assert_implication. 17 How the valid states of a machine can be checked? Explain using an example. Understand C

18

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011 www..com www..com Set No. 1 DIGITAL DESIGN THROUGH VERILOG (Common to Electronics & Communication Engineering, Bio-Medical Engineering and Electronics & Computer Engineering) 1. a) What is Verilog HDL?

More information

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. World Class Verilog & SystemVerilog Training Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc. Cliff Cummings

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition James M. Lee SEVA Technologies

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method SET - 1 1. a) Convert the decimal number 250.5 to base 3, base 4 b) Write and prove de-morgan laws c) Implement two input EX-OR gate from 2 to 1 multiplexer (3M) d) Write the demerits of PROM (3M) e) What

More information

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Table of Contents 1. Introduction to Digital Logic 1 1.1 Background 1 1.2 Digital Logic 5 1.3 Verilog 8 2. Basic Logic Gates 9

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

Verilog Tutorial (Structure, Test)

Verilog Tutorial (Structure, Test) Digital Circuit Design and Language Verilog Tutorial (Structure, Test) Chang, Ik Joon Kyunghee University Hierarchical Design Top-down Design Methodology Bottom-up Design Methodology Module START Example)

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I VLSI DESIGN (ELECTIVE-I) Question Bank Unit I B.E (E&C) NOV-DEC 2008 1) If A & B are two unsigned variables, with A = 1100 and B = 1001, find the values of following expressions. i. (A and B) ii. (A ^

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Verilog Essentials Simulation & Synthesis

Verilog Essentials Simulation & Synthesis Verilog Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using Verilog standard language.

More information

A Tutorial Introduction 1

A Tutorial Introduction 1 Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction 1 Getting Started A Structural Description Simulating the binarytoeseg Driver Creating Ports For the Module

More information

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10). II B. Tech II Semester Regular Examinations, May/June 2015 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, ECE, ECC, EIE.) Time: 3 hours Max. Marks: 70 Note: 1. Question Paper consists of two parts (Part-A

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : DIGITAL LOGIC DESISN Code : AEC020 Class : B Tech III Semester

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY & COMPUTER SCIENCE AND ENGINEERING QUESTION BANK II SEMESTER CS6201- DIGITAL PRINCIPLE AND SYSTEM DESIGN

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500043 Course Name : DIGITAL LOGIC DESISN Course Code : AEC020 Class : B Tech III Semester Branch : CSE Academic Year : 2018 2019

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY QUESTION BANK Academic Year 2018 19 III SEMESTER CS8351-DIGITAL PRINCIPLES AND SYSTEM DESIGN Regulation

More information

The Verilog Hardware Description Language

The Verilog Hardware Description Language Donald Thomas Philip Moorby The Verilog Hardware Description Language Fifth Edition 4y Spri nnger Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction Getting Started

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : STLD(16EC402) Year & Sem: II-B.Tech & I-Sem Course & Branch: B.Tech

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April SET - 1 II B. Tech II Semester, Supplementary Examinations, April - 2012 SWITCHING THEORY AND LOGIC DESIGN (Electronics and Communications Engineering) Time: 3 hours Max Marks: 80 Answer any FIVE Questions

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SUBJECT: CSE 2.1.6 DIGITAL LOGIC DESIGN CLASS: 2/4 B.Tech., I SEMESTER, A.Y.2017-18 INSTRUCTOR: Sri A.M.K.KANNA

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

430 Index. D flip-flop, from nands, 189, 191, 192 D flip-flop, verilog, 37

430 Index. D flip-flop, from nands, 189, 191, 192 D flip-flop, verilog, 37 Index *, in event control, 46 -> (event trigger), 177 $display, 34, 146, 165 $display, example, 44 $finish, 11, 165, 195, 196 $fullskew timing check, 297 $hold timing check, 298 $monitor, 34, 174 $nochange

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

Digital logic fundamentals. Question Bank. Unit I

Digital logic fundamentals. Question Bank. Unit I Digital logic fundamentals Question Bank Subject Name : Digital Logic Fundamentals Subject code: CA102T Staff Name: R.Roseline Unit I 1. What is Number system? 2. Define binary logic. 3. Show how negative

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Programmable Logic Devices Verilog VII CMPE 415

Programmable Logic Devices Verilog VII CMPE 415 Synthesis of Combinational Logic In theory, synthesis tools automatically create an optimal gate-level realization of a design from a high level HDL description. In reality, the results depend on the skill

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

קורס VHDL for High Performance. VHDL

קורס VHDL for High Performance. VHDL קורס VHDL for High Performance תיאור הקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילכתיבתקודHDL. VHDL לסינתזה בעזרת שפת הסטנדרט הקורסמעמיקמאודומלמדאת הדרךהיעילהלכתיבתקודVHDL בכדילקבלאתמימושתכןהלוגי המדויק. הקורסמשלב

More information

UNIT V: SPECIFICATION USING VERILOG HDL

UNIT V: SPECIFICATION USING VERILOG HDL UNIT V: SPECIFICATION USING VERILOG HDL PART -A (2 Marks) 1. What are identifiers? Identifiers are names of modules, variables and other objects that we can reference in the design. Identifiers consists

More information

10EC33: DIGITAL ELECTRONICS QUESTION BANK

10EC33: DIGITAL ELECTRONICS QUESTION BANK 10EC33: DIGITAL ELECTRONICS Faculty: Dr.Bajarangbali E Examination QuestionS QUESTION BANK 1. Discuss canonical & standard forms of Boolean functions with an example. 2. Convert the following Boolean function

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS) SUBJECT NAME: DIGITAL LOGIC CIRCUITS YEAR / SEM : II / III DEPARTMENT : EEE UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1. What is variable mapping? 2. Name the two canonical forms for Boolean algebra.

More information

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UNIT I - NUMBER SYSTEMS AND LOGIC GATES Introduction to decimal- Binary- Octal- Hexadecimal number systems-inter conversions-bcd code- Excess

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

Chapter 2 Basic Logic Circuits and VHDL Description

Chapter 2 Basic Logic Circuits and VHDL Description Chapter 2 Basic Logic Circuits and VHDL Description We cannot solve our problems with the same thinking we used when we created them. ----- Albert Einstein Like a C or C++ programmer don t apply the logic.

More information

Lecture #1: Introduction

Lecture #1: Introduction Lecture #1: Introduction Kunle Olukotun Stanford EE183 January 8, 20023 What is EE183? EE183 is continuation of EE121 Digital Logic Design is a a minute to learn, a lifetime to master Programmable logic

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language 1 / 15 2014/11/20 0 EDA (Electronic Design Assistance) 0 Computer based language 0 HDL (Hardware Description Language) 0 Verilog HDL 0 Created by Gateway Design Automation Corp. in 1983 First modern hardware

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2001 Digital System Design using Verilog First Semester, 2015-16(Odd

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date: Synthesizable Coding of Verilog Lecturer: Date: 2009.03.18 ACCESS IC LAB Outline Basic concepts of logic synthesis Synthesizable Verilog coding subset Verilog coding practices Coding for readability Coding

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

UNIT II - COMBINATIONAL LOGIC Part A 2 Marks. 1. Define Combinational circuit A combinational circuit consist of logic gates whose outputs at anytime are determined directly from the present combination

More information

DE Solution Set QP Code : 00904

DE Solution Set QP Code : 00904 DE Solution Set QP Code : 00904 1. Attempt any three of the following: 15 a. Define digital signal. (1M) With respect to digital signal explain the terms digits and bits.(2m) Also discuss active high and

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F LABORATORY MANUAL VLSI DESIGN LAB EE-330-F (VI th Semester) Prepared By: Vikrant Verma B. Tech. (ECE), M. Tech. (ECE) Department of Electrical & Electronics Engineering BRCM College of Engineering & Technology

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information