FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

Size: px
Start display at page:

Download "FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]"

Transcription

1 FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language Reference: []

2 FIELD PROGRAMMABLE GATE ARRAY FPGA is a hardware logic device that is programmable Logic functions may be programmed FPGAs can practically implement any logical function Programmable even after the product is deployed eg, FPGA controlling a home security system may be upgraded with new logic functions while the device is deployed in a customer s residence 2

3 Programmable Logic Blocks They implement the desired logic functions Programmable switches and wires They interconnect logic blocks to realize circuits requiring several logic blocks Programmable IO Blocks They connect wires from the switches to the IO pins on the chip Pins They are needed to get data into and out of the FPGA 3

4 IO BLOCK PIN LOGIC BLOCK INTERCONNECTION SWITCHES IO BLOCK IO BLOCK IO BLOCK 4

5 INPUTS OUTPUTS Combinational Circuit Memory Circuit General structure of a logic block It may be any combination of combinational and sequential (memory) circuits It could be all combinational, all memory, or a combination of combinational and memory circuits It will implement a desired logic function 5

6 EXAMPLE : LOGIC BLOCK A DESIRED FUNCTION MAY BE IMPLEMENTED BY A LOOKUP TABLE (LUT) Storage Cells and are used to lookup the value of the desired function, and output that value on wire and cause the MUX to select one of the four inputs and send that to the output S 4x MUX S The desired function is programmed into the storage cells Example: say you want the FPGA to implement the function The column of the truth table would be written into the storage cells of a logic block within the FPGA The inputs x and y may come from an internal or external source (ie, outside of the FPGA on pins 32 and 45, for example), in which case, the appropriate IO Block will be programmed to receives these pins, and the appropriate interconnection switches would be programmed to route the inputs to the logic block Also, the output of the logic block F would be routed by other interconnection switches and IO block to another pin for output of the function to the external world 6

7 A more complex logic block 7

8 SECTION OF PROGRAMMED FPGA EXAMPLE: 8

9 PROGRAMMING PROCEDURE A user (ie, you) describes the desired function of the digital device using a hardware description language, such as Verilog, in an Integrated development Environment (IDE), such as Quartus A IDE s compiler is used to translate the description to a logic circuit that implements the desired function The compiler generates a netlist, which specifies the Values of the storage cells and other logic within the Logic Blocks Configuration of the switches which interconnect the Logic Blocks Connection of the wires to the IO pins through the IO Blocks A netlist is a set of instructions intended for a processor on the FPGA The netlist specifies how to program the FPGA chip to implement a desired function 9

10 PROGRAMMING PROCEDURE The netlist is downloaded to the FPGA and stored in a Programmable Read Only Memory (PROM) (eg FLASH), which resides within the FPGA On an FPGA reset, the processor on the FPGA reads the values of the PROM (ie, the netlist) and: Configures the Logic Block storage cells, Configures the interconnection switches, and Configures the IO Blocks; Thus, programming the FPGA for the desired circuit

11 HARDWARE PROGRAMMING LANGUAGES There are two main languages used today: VHDL VHSIC (very-high-speed integrated circuits) HarDware Programming Language Verilog We will use Verilog in this course

12 Example Development Board With an FPGA: Altera s DE2 Board 7-Segment Display FPGA Chip LEDs Slider switches Pushbutton switches 2

13 Description of Verilog: Outline Basics History, general description, lexical elements, data types, number representation, operators Modules Description Levels Structural Functional assign statements Behavioural always block Type of Variable in Procedural Descriptions Kinds of assignments Blocking and non-blocking 3

14 Verilog is a Hardware description language Developed in mid-98 Transferred to IEEE (Standard 364) Ratified in 995 (Verilog-95) Then revised in 2 (Verilog-2) Verilog syntax is similar to that of the C language However, the Verilog semantics (meaning) are very different than that of the C language It is best to think of Verilog as a language for specifying the hardware components, their interconnection, and structure of a circuit, rather than sequential algorithm Verilog is very complex We will learn only what we need to develop the TOC 4

15 Simple Verilog Circuit (Like Hello World) module helloworld ( //IO Ports input SW, SW2; output LED; ) //signal declaration wire w, w2, w3, w4, w5; //body assign w = SW, w2 = SW2; assign LED = w5; assign w3 = w & w2; assign w4 = w w2; assign w5 = w3 ^ w4; endmodule SW SW2 FPGA w w2 w & w2 w w2 Note: SW, SW2, and LED are the names that have been assigned to the respective pins on the FPGA chip w3 w4 w3 ^ w4 w5 LED 5

16 Identifier Standard way of naming objects in your Verilog programs (letters, digits, underscore, etc) Verilog is case sensitive Keywords Predefined identifiers (module, wire, assign, etc) Comments // this is a comment on one line only /* this is a multiline comment, */ 6

17 Four values : logic zero : logic z: high impedance state x: unknown state, typically used in simulations 7

18 AKA Net Group Represents physical connections between hardware components Outputs of continuous assignment statements (ie, outputs of assign statements) wire, and tri are the most common wire w; //-bit wire named w wire [7:] w; //8-bit wire (bus) named w tri w; //-bit wire; can have three states (,, z) tri [7:] w; //8-bit tri-state bus named w 8

19 Variable Group Represent abstract storage The inferred circuit may or may not contain physical storage components Outputs of procedural assignment statements reg and integer are the most common reg should not be confused with a physical register reg w; //-bit reg variable named w reg [7:] w; //8-bit reg variable named w integer w; //32-bit integer 9

20 Standard notation: [sign] [size] [base][value] Base: b or B: Binary h or H: Hexadecimal d or D: Decimal Size: number of bits (even if base is not Binary) 2

21 Number Representation Examples Number Stored Value Comment 5 b 5 b_ _ ignored 5 ha 9 h_aa _ ignored 5 b extended 5 bz zzzzz Z extended -5 b 2 s complement of (ie, -) 2

22 VERILOG MODULES Verilog allows you to describe hardware in terms of modules: A module can be one of two types: Top-level module Sub-modules (sub-circuit, aka module) A circuit will have one top-level module and zero or more sub-modules Sub-circuit (aka module) Any logic circuit can be split into sub-circuits (modules) The sub-circuits are described by Verilog code in modules Each module will have a set of inputs and a set of outputs The outputs of Module i can be connected to the inputs of Module j, etc Sub-circuits (modules) may be reused one or many times in a larger circuit The circuit that contains the collection of sub-modules is the top-level module 22

23 Top-level module Only the top-level module creates a circuit The other modules describe how to make the sub-circuits, but they don t actually make a circuit, until they are instantiated They can also instantiate other modules Code in the top-level module will instantiate and create the subcircuits and connect their outputs to inputs of other modules The inputs and outputs of the top-level module are connected to pins of the FPGA chip Outputs of other modules are connected to inputs of other modules 23

24 EXAMPLE OF VERILOG MODULES FPGA Output peripheral device O Logic Type Logic Type SB LED Bank Logic Type 3 Logic Type 2 4 LB F SB Switch Bank Input peripheral device O2 SB module main(input SB, output LB) LT mylt (SB, O); //makes an LT LT mylt2 (SB, O2); //makes an LT LT2 mylt2 (O, O2, F); //makes an LT2 LT3 mylt3 (F, LB); //makes an LT3 endmodule //end of main; module LT(Input, Output) //code of LT endmodule //end of LT module LT2(Input, Input2, Output) //code of LT2 endmodule //end of LT2 module LT3(Input, Output) //code of LT3 endmodule //end of LT3 Sub-circuit (Generic) Descriptions: Templates or Modules Top-level Module: Makes circuit Circuit to be described by Verilog and placed into FPGA 24

25 Physical Logic Circuit The main (top level) circuit is split into a number of sub-circuits The outputs of one sub-circuit are connected to inputs of other sub-circuits There are three types of sub-circuits The Logic Type sub-circuit is re-used twice in the main circuit The main circuit gets input data from the input peripheral device and sends its outputs to the output peripheral device through pins of the FPGA chip Verilog Description of the Logic Circuit The sub-circuits are described by Verilog sub-modules (aka just modules) There are three sub-modules because there are three physical sub-circuit types The sub-modules by themselves do not actually make a sub-circuit The top-level module makes the sub-circuits make instantiating the submodules The top-level module instantiates two Logic Type modules and one each of Logic Type 2 and 3 sub-modules 25

26 EXAMPLE 4-BIT REGISTER MODULE SUB-CIRCUIT DESCRIBED BY A SUB-MODULE D Clock BIT REGISTER Q module reg4 (D, Clock, Q); input [3:] D; input Clock; output reg [3:] Q; (posedge Clock) Q <= D; endmodule Sub-circuit modules are described generically, as if they were self-contained, independent, and not part of a specific circuit The inputs and outputs are described with generic labels Describing a circuit generically allows it to be re-used in different circuits This module could be the LT of the previous slide 26

27 Verilog allows you to describe hardware at different levels of abstraction: Structural Level (lowest level) Describe the desired circuit in terms of gates Functional Level (medium level) Describe the circuit in terms of Boolean functions, and assigning functions to variables Behavioral Level (highest level) Describe the circuit by describing the behavior of the hardware to implement a desired function 27

28 VERILOG DESCRIPTION OF FULL ADDER STRUCTURAL DESCRIPTION S (Sum) can be expressed in terms of XOR gates (z = Cin): S = ~x&~y&z ~x&y&~z x&~y&~z x&y&z = x ^ y ^ Cin Carry out Cout by AND, OR, and XOR gates: Cout = x&y x&z y&z = x&y (x^y) &z Syntax Note: In Verilog The bit-wise NOT operation is denoted as ~ The bit-wise AND operation is denoted as & The bit-wise OR operation is denoted as The bit-wise XOR operation is denoted as ^ 28

29 VERILOG DESCRIPTION OF FULL ADDER STRUCTURAL DESCRIPTION Block Diagram Description x y s Full Adder Cin Cout Boolean Equation Description s = x^y^cin Cout = xy + (x^y)cin Verilog Structural Description of Full Adder module fulladder(x, y, Cin, s, Cout); input x, y, Cin; output s, Cout; wire w, w2, w3; xor(w, x, y); xor(s, w, Cin); //Sum, s and(w2, x, y); and(w3, w, Cin); or(cout, w2, w3); //Carry out, Cout endmodule Note that generic names are used for the inputs and outputs of the sub-module The xor, and, and or are logic gates supplied by the Verilog compiler: xor(out, in, in2) and(out, in, in2) or(out, in, in2) 29

30 POSSIBLE IMPLEMENTATION NOTE: THIS CIRCUIT MAY BE FURTHER OPTIMIZED (IE, SMALLER NUMBER OF LOGIC BLOCKS C out S X X Y W W C in S W 2 W 3 C out Y C in W C in W 3 X Y W 2 3

31 Structural description is used when we want to (or can) describe a circuit exactly with the specified gates The compiler will implement the circuit with lookup tables which implement the specified gates in our description When we don t care about which gates are used, we can use a functional description, and allow the compiler to optimize the design in any way it chooses A functional description is a higher level of abstraction than the structural description 3

32 ASSIGN STATEMENT IS USED IN FUNCTIONAL DESCRIPTION The Verilog assign keyword is used to assign a function to a wire The assign keyword means that the right hand side of the equal sign is evaluated all the time, just like in a combinational circuit The output depends on the present combination (value) of the inputs The assign statement is concurrent, meaning that if you have multiple assign statements, they will be executed in parallel The order of assign statements is irrelevant; any order produces the same result assign S = ~x&~y&z ~x&y&~z x&~y&~z x&y&z; assign Cout = x&y x&cin y&cin; 32

33 VERILOG DESCRIPTION OF FULL ADDER FUNCTIONAL DESCRIPTION x y Cin Full Adder s Cout Block Diagram Description of Full Adder module fulladder(x, y, Cin, s, Cout); input x, y, Cin; output s, Cout; assign s = ~x&~y&z ~x&y&~z x&~y&~z x&y&z; assign Cout = x&y x&cin y&cin; endmodule Verilog Description of Full Adder Note: we don t need to minimize the equation, since we will rely on the compiler to apply any optimization 33

34 34 POSSIBLE IMPLEMENTATION NOTE: FURTHER OPTIMIZATION POSSIBLE, DEPENDING ON COMPILER X Y C out C in S X Y C out C in X Y S C in

35 If we don t know the structural elements (ie, logic gates) that comprise the circuit; Or if we don t know the Boolean equation of the circuit that describes the circuit; Or if we prefer not to describe that amount of detail: We can describe the circuit by describing its intended behavior The behavioral description is a higher level of abstraction than the functional description 35

36 PROCEDURAL STATEMENTS In Verilog, behavior is described using procedural statements, also called sequential statements Unlike structural and functional statements, whose order in the code is irrelevant, sequential statements are executed in the order in which they appear in the code Procedural statements must be contained within an always block 36

37 General form (sensitivity_list) [begin] [procedural assignment statements] [if-else statements] [case statements] [others ] [end] If any signal specified in the sensitivity list changes or becomes true, then the statements in the always block are executed in the order in which they appear in the code 37

38 Full adder: (x, y, Cin) [begin] S = x^y^cin; //Procedural Assignment Statement Cout = x&y (x^y) &Cin; [end] Using * means that all input signals in the always block are included in the sensitivity list: (*) [begin] [end] 38

39 The use of * in the sensitivity list means that all signals, which are written as inputs between [begin] and [end] are monitored for any changes, and if any one of them change, the always block is executed: (*) begin S <= x^y^cin; //Procedural Assignment Statement Cout <= x&y (x^y) &Cin; end In this example, the x, y, Cin signals are monitored for any changes 39

40 TYPE OF VARIABLE IN PROCEDURAL DESCRIPTIONS Any signal on the left hand side (LHS) that is assigned a value within an always block must be of type reg (or integer) reg means registered or remembered This is because the always block is only executed (valid) during a short period of time when a signal in the sensitivity list changes (or becomes true), and the value of the LHS must be remembered at other times when signals in the sensitivity list do not change, because at those times the circuit is not executed (ie, not valid) However, signals on the right hand side (RHS) can be of type wire or reg 4

41 EXAMPLE: REG AND WIRE VARIABLE DECLARATION module fulladder(x, y, Cin, S, Cout); input wire x, y, Cin; output reg S, Cout; (x, y, Cin) [begin] S = x^y^cin; Cout = x&y (x^y) &Cin; [end] endmodule Even though the fulladder is a combinational circuit (ie, not a memory circuit), it sill may be described behaviourally Also, the variables S and Cout must be of type reg This does not mean that the physical realization of the variables S and Cout will be flip flops 4

42 BEHAVIORAL DESCRIPTION OF FLIP FLOP module flipflop (D, CLK, Q); D CLK D FLIP FLOP Q Block Diagram Description of D Flip Flop input D, CLK; output reg Q; (posedge CLK) begin Q <= D; end endmodule Verilog Description of D Flip Flop 42

43 BEHAVIOURAL DESCRIPTION OF 4-BIT REGISTER WITH WRITE ENABLE D 4 4 Wn REGISTER Clock Q Block Diagram Description of 4-Bit Register with Write Enable (Active Low) module reg4 (D, Wn, Clock, Q); input [3:] D; input Clock, Wn; output reg [3:] Q; (posedge Clock) if (Wn==) Q <= D; else Q <= Q; endmodule Verilog Description of 4-Bit Register with Write Enable (Active Low) 43

44 4 D Q 4 Wn D FLIP FLOP CLK Drawing Description of 4-Bit Register with Write Enable (Active Low) module reg4 (D, Wn, Clock, Q); input [3:] D; input Clock, Wn; output reg [3:] Q; (posedge Clock) if (Wn==) Q <= D; else Q <= Q; endmodule If the else condition is not specified, the complier will infer a latch circuit, and the resulting circuit may not be as expected 44

45 4-BIT REGISTER WITH SYNCHRONOUS RESETn D 4 4 Wn REGISTER Clock Q Rn module reg4 (D, Wn, Rn, Clock, Q); input [3:] D; input Clock, Wn, Rn; output reg [3:] Q; (posedge Clock) if (Rn == ) Q <= 4 b; else if (Wn == ) Q <= D; else Q <= Q; endmodule Block Diagram Description of 4-Bit Register with Write Enable (Active Low) Verilog Description of 4-Bit Register with Write Enable and Reset (Active Low) 45

46 Description Level Comparison Behavioural module fulladder(x, y, Cin, S, Cout); input wire x, y, Cin; output reg S, Cout; (x, y, Cin) begin S = x^y^cin; Cout = x&y (x^y) &Cin; end endmodule Functional module fulladder(x, y, Cin, s, Cout); input x, y, Cin; output s, Cout; assign s = ~x&~y&z ~x&y&~z x&~y&~z x&y&z; assign Cout = x&y x&cin y&cin; endmodule Structural module fulladder(x,y,cin,s,cout); input x, y, Cin; output s, Cout; wire w, w2, w3; xor(w, x, y); xor(s, w, Cin); and(w2, x, y); and(w3, w, Cin); or(cout, w2, w3); endmodule 46

47 KINDS OF ASSIGNMENTS IN PROCEDURAL STATEMENTS There are two kinds of assignments in procedural statements Blocking assignment statement Example: S = X + Y; Non-blocking assignment statement Example S <= X + Y; The = and <= differentiate between them 47

48 KINDS OF ASSIGNMENTS IN PROCEDURAL STATEMENTS Blocking assignment ( = ) S = X + Y; LSb = S[]; Statements are executed in order For each statement, the expression on the RHS is evaluated, and the result is transferred to the LHS before the next statement is executed Non-blocking assignment ( <= ) S <= X + Y; LSb <= S[]; RHS expressions of statements are executed in order After all RHS expressions are executed, then the assignments of values to the LHS are performed all at the same time Note that if a previous statement writes to a memory (eg, S <=X + Y), then that change will not be seen by subsequent statements which have the receiving variable as a source variable (eg, LSb <= S[]), due to propagation delay (See next 2 slides for an example) 48

49 If X = 4 b, Y = 4 b, S = 4 b, then after the following two lines are executed: S = X + Y; LSb = S[]; RESULT: S = 4 b LSb = If X = 4 b, Y = 4 b, S = 4 b, then after the following two lines are executed: S <= X + Y; LSb <= S[]; RESULT: S = 4 b LSb = 49

50 S <= X + Y; LSb <= S[]; ANALYSIS OF EXAMPLE NON-BLOCKING CASE X Y BIT ADDER S 3 S S RHS Evaluation of S <= X + Y, time t t t t 2 t 2 RHS Evaluation of LSb <= S[], time t LSb CLK 3 LHS Assignments, time t 2 Note that during the posedge of CLK, the value input to S[] = will not have enough time to propagate to the input of LSb, and, therefore, the previous value of S[] = will be written into LSb S after posedge of CLK LSb after posedge of CLK 5

51 Previous example shows that S and LSb were implemented as registers As mentioned previously, when you declare a variable as type reg, that does not mean necessarily that the variable will be implemented in Verilog as a register However, even if S and LSb were not implemented as registers, the previous result and explanation still hold Now that we know the difference between blocking and nonblocking statements, the question is: when should we use blocking statements and when should we use non-blocking statements? We consider combinational and sequential circuits 5

52 Assignment Type for Sequential Logic Desired circuit to be described Example: 3-bit Shift Circuit: IN D Q Q D Q Q2 D Q Q 3 OUT CLK //Description : non-blocking (posedge CLK) begin Q <=IN; Q 2 <=Q ; OUT<=Q 2 ; end //Description 2: blocking (posedge CLK) begin Q =IN; Q 2 =Q ; OUT=Q 2 ; end Which assignment type should be used to truly describe the desired circuit? 52

53 Use Nonblocking for Sequential Logic (posedge CLK) begin Q <=IN; Q 2 <=Q ; OUT<=Q 2 ; end Satisfies the Desired Circuit IN CLK D Q At the rising edge of CLK: The RHS of each line is evaluated When all RHS values have been evaluated, then they are transferred to their LHS variables, simultaneously This is equivalent to saying at the rising edge of CLK, the current values of the D inputs are transferred to their respective Q s Q D Q Q2 D Q Q 3 OUT Desired Circuit (posedge CLK) begin Q =IN; Q 2 =Q ; OUT=Q 2 ; end IN CLK D Q OUT At the rising edge of CLK: Q =IN After that, Q 2 =Q =IN After that, OUT=Q 2 =Q =IN Equivalently, this is the generated circuit This is not the intended circuit General Rule: Use nonblocking assignments for sequential circuits 53

54 Assignment Type For Combinational Logic Desired circuit to be described Example: Combinational Circuit A B C X Y //Description (A, B, C) begin X <= A & B; Y <= X C; end //Description 2 (A, B, C) begin X = A & B; Y= X C; end Which assignment type should be used? 54

55 Use Blocking for Combinational Logic Example: Combinational Circuit Desired circuit to be described A X B C Y (A, B, C) begin X <= A & B; Y <= X C; end When A, B, or C change: uses the old value of, and so will not get the desired value, which is, ie, the new as computed in the st line (A, B, C) begin X = A & B; Y= X C; end When A, B, or C change: X = A AND B After that, Y = new value of X ORed with current value of C General Rule: Use blocking assignments for combinational circuits 55

56 [] S Brown and Z Vranesic, Fundamentals of Digital Logic with Verilog Design, New York: McGraw-Hill, 23 56

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog Verilog Radek Pelánek and Šimon Řeřucha Contents 1 Computer Aided Design 2 Basic Syntax 3 Gate Level Modeling 4 Behavioral Modeling Computer Aided Design Hardware Description Languages (HDL) Verilog C

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Contents. Appendix D Verilog Summary Page 1 of 16

Contents. Appendix D Verilog Summary Page 1 of 16 Appix D Verilog Summary Page 1 of 16 Contents Appix D Verilog Summary... 2 D.1 Basic Language Elements... 2 D.1.1 Keywords... 2 D.1.2 Comments... 2 D.1.3 Identifiers... 2 D.1.4 Numbers and Strings... 3

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Chapter 2 Using Hardware Description Language Verilog. Overview

Chapter 2 Using Hardware Description Language Verilog. Overview Chapter 2 Using Hardware Description Language Verilog CSE4210 Winter 2012 Mokhtar Aboelaze based on slides by Dr. Shoab A. Khan Overview Algorithm development isa usually done in MATLAB, C, or C++ Code

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Introduction to Verilog

More information

A Brief Introduction to Verilog Hardware Definition Language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL) www.realdigital.org A Brief Introduction to Verilog Hardware Definition Language (HDL) Forward Verilog is a Hardware Description language (HDL) that is used to define the structure and/or behavior of digital

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis September 26, 2002 John Wawrzynek Fall 2002 EECS150 Lec10-synthesis Page 1 Logic Synthesis Verilog and VHDL stated out as simulation languages, but quickly

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits Software Engineering 2DA4 Slides 2: Introduction to Logic Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis EECS150 - Digital Design Lecture 10 Logic Synthesis February 13, 2003 John Wawrzynek Spring 2003 EECS150 Lec8-synthesis Page 1 Logic Synthesis Verilog and VHDL started out as simulation languages, but

More information

Lecture #2: Verilog HDL

Lecture #2: Verilog HDL Lecture #2: Verilog HDL Paul Hartke Phartke@stanford.edu Stanford EE183 April 8, 2002 EE183 Design Process Understand problem and generate block diagram of solution Code block diagram in verilog HDL Synthesize

More information

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis Spring 2007 Lec #8 -- HW Synthesis 1 Logic Synthesis Verilog and VHDL started out

More information

Verilog Module 1 Introduction and Combinational Logic

Verilog Module 1 Introduction and Combinational Logic Verilog Module 1 Introduction and Combinational Logic Jim Duckworth ECE Department, WPI 1 Module 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis Logic Synthesis Verilog and VHDL started out as simulation languages, but quickly people wrote programs to automatically convert Verilog code into low-level circuit descriptions (netlists). EECS150 - Digital

More information

Verilog Design Principles

Verilog Design Principles 16 h7fex // 16-bit value, low order 4 bits unknown 8 bxx001100 // 8-bit value, most significant 2 bits unknown. 8 hzz // 8-bit value, all bits high impedance. Verilog Design Principles ECGR2181 Extra Notes

More information

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2017 1 Topics 1. Programmable logic

More information

CSE140L: Components and Design Techniques for Digital Systems Lab

CSE140L: Components and Design Techniques for Digital Systems Lab CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Announcements & Outline Lab 4 due; demo signup times listed on the cse140l site Check

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 15: Logic Synthesis with Verilog Prof. Mingjie Lin 1 Verilog Synthesis Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for

More information

Introduction to Verilog HDL. Verilog 1

Introduction to Verilog HDL. Verilog 1 Introduction to HDL Hardware Description Language (HDL) High-Level Programming Language Special constructs to model microelectronic circuits Describe the operation of a circuit at various levels of abstraction

More information

HDLs and SystemVerilog. Digital Computer Design

HDLs and SystemVerilog. Digital Computer Design HDLs and SystemVerilog Digital Computer Design Logic Arrays Gates can be organized into regular arrays. If the connections are made programmable, these logic arrays can be configured to perform any function

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

EEL 4783: Hardware/Software Co-design with FPGAs

EEL 4783: Hardware/Software Co-design with FPGAs EEL 4783: Hardware/Software Co-design with FPGAs Lecture 8: Short Introduction to Verilog * Prof. Mingjie Lin * Beased on notes of Turfts lecture 1 Overview Recap + Questions? What is a HDL? Why do we

More information

register:a group of binary cells suitable for holding binary information flip-flops + gates

register:a group of binary cells suitable for holding binary information flip-flops + gates 9 차시 1 Ch. 6 Registers and Counters 6.1 Registers register:a group of binary cells suitable for holding binary information flip-flops + gates control when and how new information is transferred into the

More information

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Design/Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2016 1 Topics 1. Programmable logic

More information

CSE140L: Components and Design

CSE140L: Components and Design CSE140L: Components and Design Techniques for Digital Systems Lab Tajana Simunic Rosing Source: Vahid, Katz, Culler 1 Grade distribution: 70% Labs 35% Lab 4 30% Lab 3 20% Lab 2 15% Lab 1 30% Final exam

More information

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1

Introduction To HDL. Verilog HDL. Debdeep Mukhopadhyay Dept of CSE, IIT Madras 1 Introduction To HDL Verilog HDL Debdeep Mukhopadhyay debdeep@cse.iitm.ernet.in Dept of CSE, IIT Madras 1 How it started! Gateway Design Automation Cadence purchased Gateway in 1989. Verilog was placed

More information

Introduction to Verilog/System Verilog

Introduction to Verilog/System Verilog NTUEE DCLAB Feb. 27, 2018 Introduction to Verilog/System Verilog Presenter: Yao-Pin Wang 王耀斌 Advisor: Prof. Chia-Hsiang Yang 楊家驤 Dept. of Electrical Engineering, NTU National Taiwan University What is

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Verilog. Verilog for Synthesis

Verilog. Verilog for Synthesis Verilog Verilog for Synthesis 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog enhanced version Verilog-XL 1987: Verilog-XL becoming more popular

More information

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only.

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only. Chapter 4 :: Hardware Description Languages Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Chapter 4 :: Topics Introduction Combinational Logic Structural Modeling Sequential

More information

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis Synthesis of Language Constructs 1 Nets Nets declared to be input or output ports are retained Internal nets may be eliminated due to logic optimization User may force a net to exist trireg, tri0, tri1

More information

Verilog HDL Introduction

Verilog HDL Introduction EEE3050 Theory on Computer Architectures (Spring 2017) Prof. Jinkyu Jeong Verilog HDL Introduction 2017.05.14 TA 이규선 (GYUSUN LEE) / 안민우 (MINWOO AHN) Modules The Module Concept Basic design unit Modules

More information

Chap 3. Modeling structure & basic concept of Verilog HDL

Chap 3. Modeling structure & basic concept of Verilog HDL Chap 3. Modeling structure & basic concept of Verilog HDL Fall semester, 2016 Prof. Jaeseok Kim School of Electrical & Electronics Eng. Yonsei university jaekim@yonsei.ac.kr Digital System Design 3-1 Chapter

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

VERILOG 2: LANGUAGE BASICS

VERILOG 2: LANGUAGE BASICS VERILOG 2: LANGUAGE BASICS Verilog module Modules are basic building blocks. These are two example module definitions which you should use: // Safer traditional method module abc (in1, in2, out); input

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016 Recall What You Will Do Design and implement a serial MIDI receiver Hardware in

More information

N-input EX-NOR gate. N-output inverter. N-input NOR gate

N-input EX-NOR gate. N-output inverter. N-input NOR gate Hardware Description Language HDL Introduction HDL is a hardware description language used to design and document electronic systems. HDL allows designers to design at various levels of abstraction. It

More information

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL IE24 Digital Design L7: Combinational circuits, Introduction to VHDL Elena Dubrova KTH / ICT / ES dubrova@kth.se This lecture BV 38-339, 6-65, 28-29,34-365 IE24 Digital Design, HT 24 2 The multiplexer

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign I hope you have completed Part 1 of the Experiment. This lecture leads you to Part 2 of the experiment and hopefully helps you with your progress to Part 2. It covers a number of topics: 1. How do we specify

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection of logic gates to a complete system. A module

More information

Course Topics - Outline

Course Topics - Outline Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types Lecture 4 - Operators Lecture 5 - Behavioral modeling A Lecture 6 Behavioral modeling B Lecture 7

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture) Last Lecture The basic component of a digital circuit is the MOS transistor Transistor have instrinsic resistance and capacitance, so voltage values in the circuit take some time to change ( delay ) There

More information

Chap 6 Introduction to HDL (d)

Chap 6 Introduction to HDL (d) Design with Verilog Chap 6 Introduction to HDL (d) Credit to: MD Rizal Othman Faculty of Electrical & Electronics Engineering Universiti Malaysia Pahang Ext: 6036 VERILOG HDL Basic Unit A module Module

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation

A Verilog Primer. An Overview of Verilog for Digital Design and Simulation A Verilog Primer An Overview of Verilog for Digital Design and Simulation John Wright Vighnesh Iyer Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

ENGN1640: Design of Computing Systems Topic 02: Lab Foundations

ENGN1640: Design of Computing Systems Topic 02: Lab Foundations ENGN1640: Design of Computing Systems Topic 02: Lab Foundations Professor Sherief Reda http://scale.engin.brown.edu School of Engineering Brown University Spring 2014 1 Topics 1. Programmable logic 2.

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 Print Here Student ID Signature This is a closed book exam. The exam is to be completed in one-hundred ten (110) minutes. Don t use scratch

More information

CHAPTER 2 INTRODUCTION TO VERILOG 2.1 COMPUTER-AIDED DESIGN. Copyrighted material; Do not copy or circulate. Page 46

CHAPTER 2 INTRODUCTION TO VERILOG 2.1 COMPUTER-AIDED DESIGN. Copyrighted material; Do not copy or circulate. Page 46 CHAPTER 2 INTRODUCTION TO VERILOG As integrated circuit technology has improved to allow more and more components on a chip, digital systems have continued to grow in complexity. While putting a few transistors

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

ECE 353 Lab 3 (Verilog Design Approach)

ECE 353 Lab 3 (Verilog Design Approach) ECE 353 Lab 3 (Verilog Design Approach) Prof Daniel Holcomb Recall What You Will Do Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device (CPLD) MAX 7000S

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Instructor: Mohsen Imani UC San Diego Source: Eric Crabill, Xilinx 1 Hardware description languages Used to describe & model

More information

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 99-1 Under-Graduate Project Verilog Simulation & Debugging Tools Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28 ACCESS IC LAB Outline Basic Concept of Verilog HDL Gate Level Modeling

More information

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University Digital Circuit Design and Language Datapath Design Chang, Ik Joon Kyunghee University Typical Synchronous Design + Control Section : Finite State Machine + Data Section: Adder, Multiplier, Shift Register

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Introduction To Verilog Design. Chun-Hung Chou

Introduction To Verilog Design. Chun-Hung Chou Introduction To Verilog Design Chun-Hung Chou 1 Outline Typical Design Flow Design Method Lexical Convention Data Type Data Assignment Event Control Conditional Description Register Description Synthesizable

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language

Lab #1. Topics. 3. Introduction to Verilog 2/8/ Programmable logic. 2. Design Flow. 3. Verilog --- A Hardware Description Language Lab #1 Lecture 8, 9, &10: FPGA Dataflow and Verilog Modeling February 9, 11, 13, 2015 Prof R Iris Bahar Lab #1 is posted on the webpage wwwbrownedu/departments/engineering/courses/engn1640 Note for problem

More information

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed. VLSI SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING VLSI System Design 1 LAB 01 Schematic Introduction to DSCH and

More information

Chapter 3: Dataflow Modeling

Chapter 3: Dataflow Modeling Chapter 3: Dataflow Modeling Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 3-1 Objectives After completing this chapter, you will be able to: Describe

More information

VERILOG HDL. (and C) 1 ENGN3213: Digital Systems and Microprocessors L#5-6

VERILOG HDL. (and C) 1 ENGN3213: Digital Systems and Microprocessors L#5-6 VERILOG HDL (and C) 1 ENGN3213: Digital Systems and Microprocessors L#5-6 Some Reference Material The following are suggested reading.. http://engnet.anu.edu.au/decourses/engn3213/documents/verilog/ VerilogIntro.pdf

More information

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008 CSE 140L Final Exam Prof. Tajana Simunic Rosing Spring 2008 NAME: ID#: Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page.

More information

Homework deadline extended to next friday

Homework deadline extended to next friday Norm Midterm Grading Finished Stats on course homepage Pickup after this lab lec. Regrade requests within 1wk of posted solution Homework deadline extended to next friday Description Design Conception

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Hardware Description Language Logic Simulation versus Synthesis

More information

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 98-1 Under-Graduate Project Synthesis of Combinational Logic Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23 What is synthesis? Outline Behavior Description for Synthesis Write Efficient HDL

More information

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017 ECE 353 Lab 4 Verilog Review Professor Daniel Holcomb UMass Amherst Fall 2017 What You Will Do In Lab 4 Design and implement a serial MIDI receiver Hardware in an Altera Complex Programmable Logic Device

More information

Programming with HDLs

Programming with HDLs Programming with HDLs Paul Chow February 11, 2008 1 Introduction The purpose of this document is to encourage the proper approach or mindset for programming in a hardware description language (HDL), particularly

More information

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages 14:332:231 DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall 2013 Lecture #22: Introduction to Verilog Hardware Description Languages Basic idea: Language constructs

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate logical values from place to place.

More information

VHDL VS VERILOG.

VHDL VS VERILOG. 1 VHDL VS VERILOG http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 VHDL & Verilog They are both hardware description languages for modeling hardware. They are each a notation to describe the behavioral

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline EECS150 - Digital Design Lecture 4 - Verilog Introduction Feb 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec05-Verilog Page 1 Outline Background and History of Hardware Description Brief Introduction

More information

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2)

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2) EN2911X: Lecture 05: Verilog (2) Prof. Sherief Reda Division of Engineering, Brown University Fall 09 http://scale.engin.brown.edu Dataflow modeling Module is designed by specifying the data flow, where

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information