ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

Size: px
Start display at page:

Download "ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II"

Transcription

1 ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step instructions for using the Quartus II software to implement a part of the Tiny Operation Set Calculator (TOC) in Altera s DE board. Students have the option to extend the lab by completing the Verilog descriptions for the other components of the TOC, and implementing and testing the TOC on the DE2 board. The Quartus II system includes full support for all of the popular methods of entering a description of a desired circuit into a CAD system. Designs can be described and entered using three methods: (i) the Verilog hardware description language, (ii) the VHDL hardware description language, and (iii) schematic diagram entry. This lab makes use of the Verilog design entry method, in which the user specifies the desired circuit in the Verilog hardware description language. Each of the workstations has Quartus II software installed on it, and the workstation may be connected to the Altera DE2 Development and Education board via a USB cable. A reader who does not have access to the DE2 board will still find the lab manual useful to learn how to use the Quartus II software and how to program the FPGA on the DE2 board. The screen captures in this lab manual were obtained using the Quartus II version 12.1; if other versions of the software are used, some of the images may be slightly different. This lab manual introduces the basic features of the Quartus II software. It shows how the software can be used to design and implement a circuit specified by using the Verilog hardware description language. It makes use of the graphical user interface to invoke the Quartus II commands. The reader will learn about: Creating a project Design entry using Verilog code Synthesizing a circuit specified in Verilog code Assigning the circuit inputs and outputs to specific pins on the FPGA Programming the FPGA chip on Altera s DE2 board Testing the circuit 1. Getting Started A logic circuit being designed with Quartus II software is called a project. The software works on one project at a time and keeps all information for that project in a single directory (folder) in the file system. To begin a new logic circuit design, the first step is to create a directory to hold its files. To hold the 5 projects for this lab, we will store them in separate folders under the top-level directory called c:\ece3610\labs\lab1\. Using the OS, create this top-level directory now.

2 This lab manual provides a step-by-step procedure for implementing the Register A of the TOC, which is Project #1. This lab also specifies an incremental approach for designing the complete TOC, by adding one component at a time. For example, after completing the design of the TOC with Register A, a new folder (directory) should be created to store the next project, which is to add Register B. The next directory and project is to add the ALU. This continues until all components of the basic TOC have been added and designed. Each time a component is added, a new project is started, and the new project is stored in a unique directory. The advantage of incrementally developing this way is that if a problem occurs at Phase n of the development, the developer can revert back to Phase n-1, the last known working design. Also, if a problem occurs in Phase n, the developer knows that the problem must be one or more of the statements added in Phase n, since all phases prior to Phase n have been thoroughly tested and verified for correctness. This lab requires that students complete Phase 1 TOC Register A and Phase 2 TOC with Registers A & B. The remaining phases (projects) will be implemented as a competition. The first student to complete all phases and have the basic TOC with all components completed wins first prize (bonus 5 marks). Second and third prizes will be awarded as well (bonus 3 and 1 marks, respectively). Start the Quartus II software. You should see a display similar to the one shown in Fig. 1(a). First, click the x in the top right hand corner of the Getting Started Software dialog window. Most of the commands provided by Quartus II software can be accessed by using a set of menus that are located below the title bar. For example, clicking the left mouse button on the menu named File opens the menu shown in Fig. 1(b). In general, whenever the mouse is used to select something, the left button is used. Hence, we will not normally specify which button to press. In a few cases when it is necessary to use the right mouse button, it will be specified explicitly. Click Here. (a) (b) Fig. 1. The Quartus II startup display (a). File menu (b).

3 For some commands it is necessary to access two or more menus in sequence. We use the convention Menu1>Menu2>Item to indicate that to select the desired command the user should first click the left mouse button on Menu1, then within this menu click on Menu2, and then within Menu2 click on Item. For example, File>Exit uses the mouse to exit from the system. Many commands can be invoked by clicking on an icon displayed in one of the toolbars. To see the command associated with an icon, position the mouse over the icon and a tooltip will appear that displays the command name. Quartus II Online Help Quartus II software provides comprehensive online documentation that answers many of the questions that may arise when using the software. The documentation is accessed from the Help menu. To get some idea of the extent of documentation provided, it is worthwhile for the reader to browse through the Help menu. For instance, selecting Help>How to Use Help gives an indication of what type of help is provided. The user can quickly search through the Help topics by selecting Help>Search, which opens a dialog box into which keywords can be entered. Another method, context-sensitive help, is provided for quickly finding documentation for specific topics. While using most applications, pressing the F1 function key on the keyboard opens a Help display that shows the commands available for the application. 2. Starting a New Project To start working on a new design, we first have to define a new design project. Quartus II software makes the designer s task easy by providing support in the form of a Wizard. Create a new project as follows: Select File>New Project Wizard to reach the window in Fig. 2, which asks for the name and directory of the project. Fig. 2. Creation of the TOC_REGA project. Set the working directory to be c:\ece3610\labs\lab1\toc_rega. The project must have a name, which should be the same as the top-level module name of the Verilog file that will be included in the project. Choose TOC_REGA as the name for both the project and the top-level entity, as shown in Fig. 2. Press Next. Since we have not yet created the directory Lab1\TOC_REGA, Quartus II software displays the pop-up box asking if it should create the

4 desired directory. Click Yes, which leads to the window in Fig. 3. Fig. 3. The wizard can include user-specified design files. The wizard asks which existing files (if any) should be included in the project. In this project, we do not have any existing files; so click Next, which leads to the window in Fig. 4. We have to specify the FPHA that we are using in our system. Observe the part number on the FPGA chip on the DE2 board. Accordingly, choose Cyclone IV E as the target device family. From the list of available devices, choose the device called EP4CE115F29C7 which is the part number of the FPGA used on Altera s DE2 board. Press Next, which opens the window in Fig. 5. Fig. 4. Choose the device family and a specific device.

5 Fig. 5. Other EDA tools can be specified. The user can specify any third-party tools that should be used. Since we will rely solely on Quartus II tools, we will not choose any other tools. Press Next. A summary of the chosen settings appears in the screen shown in Fig. 6 (a). Press Finish, which returns to the main Quartus II window, but with TOC_REGA specified as the new project, in the display title bar, as indicated in Fig. 6 (b). (a) (b) Fig. 6. Summary of the project settings (a). TOC_REGA project windows (b). 3. Design Entry Using Verilog Code This lab starts by implementing the register A of the TOC, as shown in Fig. 7. The register has four D inputs, four Q outputs, a Wn input signal, and a positive edge triggered clock input. The wires on the DBUS connect the DE2 switches SW3-SW0 to the respective D inputs. The wires RegAQ connect outputs of the register Q3-Q0 to the DE2 board s red LEDs (LEDR3:LEDR0).

6 The LEDs will facilitate reading the register contents. This will facilitate debugging the circuit and for verifying the contents: after we write a 4-bit value into the register, we will be able to see what was written by observing the state of the LEDs. All items colored in light blue and light orange represent our partial design of the TOC, which we describe and enter in a Verilog design file (source file), and which are to be added to the FPGA, while the objects colored otherwise are existing items in either the FPGA or the DE2 board. Fig. 7. Register A of the TOC with the FPGA of the DE2 board. The required circuit is described by the Verilog code shown in Fig. 9. Note that the Verilog module is called TOC_REGA to match the name given for the project (Fig. 2). In the next section we will create a file to contain the Verilog description of the REGA. While the Verilog file can be given any name, it is a common designer s practice to use the same name as the name of the project and the top-level Verilog module. The Verilog file name must include the extension v, which indicates a Verilog file. So, we will use the name TOC_REGA.v. Using the Quartus II Text Editor to Create a Verilog File This section shows how to use the Quartus II Text Editor to create a Verilog file. Select File > New to get the window in Fig. 8 (a), choose Verilog HDL File, and click OK. This opens the Text Editor window. The first step is to specify a name for the file that will be created. Select File > Save As to open the pop-up box depicted in Fig. 8 (b). In the box labeled Save as type choose Verilog HDL File. In the box labeled File name type light. Put a checkmark in the box Add file to current

7 project. Click Save, which puts the file into the directory Lab1\TOC_REGA. (a) (b) Fig. 8. Choose to create a Verilog file (a). Saving the file (b). Maximize the Text Editor window and enter the following Verilog statements. Save the file by typing File > Save, or by typing the shortcut Ctrl-s. module TOC_REGA (SW, KEY, LEDR); input [17:0] SW; input [3:0] KEY; output [17:0] LEDR; wire [3:0] DBUS, RegAQ; wire RegAWn, Clock, Clockn; assign LEDR [3:0] = RegAQ [3:0]; assign Clockn =!Clock; assign RegAWn = SW[4], Clock = KEY[0]; assign DBUS[3] = SW[3], DBUS[2] = SW[2]; assign DBUS[1] = SW[1], DBUS[0] = SW[0]; reg4 AReg (DBUS, RegAWn, Clockn, RegAQ); endmodule module reg4 (D, Wn, Clock, Q); input [3:0] D; input Clock, Wn; output reg [3:0] Q; (posedge Clock) if (Wn==0) Q <= D; endmodule

8 The result should be as shown in Fig. 9. Click on the Files tab in the left side panel to view the list of files in the project (only one in this case, TOC_REGA.v). Most of the commands available in the Text Editor are self-explanatory. Text is entered at the insertion point, which is indicated by a thin vertical line. The insertion point can be moved either by using the keyboard arrow keys or by using the mouse. Two features of the Text Editor are especially convenient for typing Verilog code. First, the editor can display different types of Verilog statements in different colors, which is the default choice. Second, the editor can automatically indent the text on a new line so that it matches the previous line. Such options can be controlled by the settings in Tools > Options > Text Editor. Fig. 9. Quartus II window with the TOC_REGA design. Adding Design Files to a Project As we indicated when discussing Fig. 3, you can tell Quartus II software which design files it should use as part of the current project. To see the list of files already included in the light project, select Assignments > Settings, which leads to the window in Fig. 10. As indicated on the left side of the figure, click on the item Files. An alternative way of making this selection is to choose Project > Add/Remove Files in Project. Recall that we checked the box labeled Add file to current project when we created the TOC_REGA.v file, and so it will be listed in the window in Fig. 10 (a).

9 If you want to add files to a project, select Project > Add/ Remove Files in Project. Then, click on the... button in Fig. 10 (a) to get the pop-up window in Fig. 10 (b). (Note: the files you want to add should be previously placed in the current project directory.) Select the file you want to add and click Open. The selected file is now indicated in the Files window. Finally, click OK to include the file in the project. (a) (b) 4. Pin Assignment Fig. 10. Settings window (a). Adding file to project (b). During the compilation process, the Quartus II Compiler would be free to choose any pins on the selected FPGA to connect to the input and output variables that were specified in the Verilog top-level source file being compiled. The compiler will connect the inputs and outputs specified in the top-level design file (SW, KEY, and LEDR) to arbitrary I/O pins on the FPGA chip if not instructed otherwise. The DE2 board has hardwired connections between certain FPGA pins and other components on the board. For example, the slider switches (SW17:SW0), push button switches (KEY3:KEY0), and the red LEDs (LEDR17:LEDR0) on the board are connected to certain pins of the FPGA. To use these input and output devices (i.e., switches and LEDs) in our design, we need to do two things: (i) Assign names to the FPGA pins to which these input and output devices are connected, and (ii) Declare the names of the inputs and outputs in our Verilog source file with the same names as we had assigned in step (i). For example, the slider switches SW0 and SW1 are connected to pins PIN_AB28 and PIN_AC28 of the FPGA chip. To use these devices in our design, we would need to assign names to these pins, and then use those same names in our Verilog source file. The easiest way to assign names to the pins which connect to input and output devices is to import a file, called a pin assignment file, that already assigns names to the pins and indicates the I/O device to which the pin is connected on the DE2 board. Using the OS and a Text Editor, open the file: C:\altera\DE2_115.qsf and browse its contents. To import the pin assignments into our design, select: Assignments Import Assignments

10 (Left side of Fig. 11). Then click on the button File name:... (Right side of Fig. 11). Locate and open the file C:\altera\DE2_115.qsf. Note that the switches we will use for rega are SW[4], SW[3], SW[2], SW[1], and SW[0], and the push button switch we will use is KEY[0]. Finally, we will use four red LEDS called LEDR[3], LEDR[2], LEDR[1], and LEDR[0]. Note that the Verilog source file in Fig. 9 declares the inputs and outputs with the same names as the pin assignments that we had imported. Finally, note that you must recompile after importing pin assignments. Fig. 11. Importing pin assignments into the TOC_REGA project. 5. Compiling the Designed Circuit The Verilog code in the file TOC_REGA.v is processed by several Quartus II tools that analyze the code, synthesize the circuit, and generate an implementation of it for the target chip. These tools are controlled by the application program called the Compiler. Run the Compiler by selecting Processing > Start Compilation, or by clicking on the toolbar icon that looks like a purple triangle. As the compilation moves through various stages, its progress is reported in a window on the left side of the Quartus II display. Successful (or unsuccessful) compilation is indicated in a pop-up box. Acknowledge it by clicking OK, which leads to the Quartus II display in Fig. 12. In the message window, at the bottom of the figure, various messages are displayed. In case of errors, there will be appropriate messages given.

11 Fig. 12. Display after a successful compilation. When the compilation is finished, a compilation report is produced. A window showing this report is opened automatically, as seen in Fig. 12. The window can be resized, maximized, or closed in the normal way, and it can be opened at any time either by selecting Processing > Compilation Report or by clicking on the icon. The report includes a number of sections listed on the left side of its window. Fig. 12 displays the Compiler Flow Summary section, which indicates that four logic elements and 40 pins have been specified to implement this part of the TOC on the selected FPGA chip. Note that we are actually using only 6 pins of the 40 declared pins. We will use more pins when we add more components, such as regb, ALU, etc. Errors Messages Quartus II software displays messages produced during compilation in the Messages window. If the Verilog design file is correct, one of the messages will state that the compilation was successful and that there are no errors. If the Compiler does not report zero errors, then there is at least one mistake in the Verilog code. In this case a message corresponding to each error found will be displayed in the Messages window. Double-clicking on an error message will highlight the offending statement in the

12 Verilog code in the Text Editor window. Similarly, the Compiler may display some warning messages. Their details can be explored in the same way as in the case of error messages. The user can obtain more information about a specific error or warning message by selecting the message and pressing the F1 function key. To see the effect of an error, open the file TOC_REGA.v. Remove the semicolon in the Q <= D; statement, illustrating a typographical error that is easily made. Compile the erroneous design file by clicking on the icon. A pop-up box will ask if the changes made to the TOC_REGA.v file should be saved; click Yes. After trying to compile the circuit, Quartus II software will display a pop-up box indicating that the compilation was not successful. Acknowledge it by clicking OK. The compilation report summary (Fig. 13) confirms the failed result. Double-click on the first error message. Quartus II software responds by opening the TOC_REGA.v file and highlighting the statement which is affected by the error. Correct the error and recompile the design. 6. Simulating the Designed Circuit Fig. 13. Compilation report for the failed design. Before implementing the designed circuit in the FPGA chip on the DE2 board, it is prudent to simulate it to ascertain its correctness. Quartus II software includes a simulation tool that can be used to simulate the behavior of a designed circuit. However, in this lab we will skip the simulation phase, because our design is small and we can test the circuit directly.

13 7. Programming and Configuring the FPGA Device The FPGA device must be programmed and configured to implement the designed circuit. The required configuration file is generated by the Quartus II Compiler s Assembler module. Altera s DE2 board allows the configuration to be done in two different ways, known as JTAG and AS modes. The configuration data is transferred from the host computer (which runs the Quartus II software) to the board by means of a cable that connects a USB port on the host computer to the leftmost USB connector on the board (the one labeled Blaster. ) In the JTAG mode, the configuration data is loaded directly into the FPGA device. The acronym JTAG stands for Joint Test Action Group. This group defined a simple way for testing digital circuits and loading data into them, which became an IEEE standard. If the FPGA is configured in this manner, it will retain its configuration as long as the power remains turned on. The configuration information is lost when the power is turned off. The second possibility is to use the Active Serial (AS) mode. In this case, a configuration device that includes some flash memory is used to store the configuration data. Quartus II software places the configuration data into the configuration device on the DE2 board. Then, this data is loaded into the FPGA upon power-up or reconfiguration. Thus, the FPGA need not be configured by the Quartus II software if the power is turned off and on. The choice between the two modes is made by the RUN/PROG switch on the DE2 board. The RUN position selects the JTAG mode, while the PROG position selects the AS mode. JTAG Programming The programming and configuration task is performed as follows. Flip the RUN/PROG switch into the RUN position. Select Tools > Programmer to reach the window in Fig. 14. Here it is necessary to specify the programming hardware and the mode that should be used. If not already chosen by default, select JTAG in the Mode box. Also, if the USB-Blaster is not chosen by default, press the Hardware Setup... button and select the USB-Blaster in the window that pops up, as shown in Fig. 15. Fig. 14. The Programmer window.

14 Ensure that the associated configuration file TOC_REGA.sof is listed in the window in Fig. 14. If the file is not already listed, then click Add File or Change File and browse to your project folder and select the *.sof file; in this case it is in the TOC_REGA folder. This is a binary file produced by the Compiler s Assembler module, which contains the data needed to configure the FPGA device. The extension.sof stands for SRAM Object File. Note also that the device selected is EP4CE115F29C7, which is the FPGA device used on the DE2 board. Click to place a check mark in the Program/Configure check box, as shown in Fig. 14. Fig. 15. The Hardware Setup window. Now, press Start in the window in Fig. 14. An LED on the board will light up when the configuration data has been downloaded successfully. If you see an error reported by Quartus II software indicating that programming failed, and then check to ensure that the board is properly powered on and the USB cable is connected to the Blaster connector on the DE2 Board. Close the Programmer window after successful programming. 8. Testing the Designed Circuit Having downloaded the configuration data into the FPGA device, you can now test the implemented circuit. Perform the following test cases and answer the following questions: 1. Write the data 1010 by setting up the switches SW3:SW0 as Then, make SW4 = 0 (SW4 is connected to the register s Wn control signal, which, when low, will enable writing to the register). Next, press the push button switch (KEY0) down. As soon as KEY0 (i.e., Clock) is pushed down, you should see LEDR[3] and LEDR[1] light up. These LEDs are connected to the outputs of the register. Then, release the Clock and observe what happens. 2. Do the same test as Test Case 1, except while holding KEY0 push button down, change the data at switches SW3:SW0 to any other value other than Explain why the LEDR do

15 not change. 3. Do the same test as Test Case 1 with other instances of data, such as 1111 and Do the same test as Test Case 1, except make SW4 = 1, and observe and explain what happens. 5. Draw a timing diagram to show the procedure for writing to the register. Explain the procedure (if any) for reading from the register. 9. Adding Register B Add Register B using the following procedure: 1. Close all projects. 2. Start the New Project Wizard to create a new project; name it TOC_REGAB. Place the project in directory Lab1\TOC_REGAB. Press Next to create the directory. 3. Using the OS, copy the file TOC_REGA.v (Verilog file) from directory Lab1\TOC_REGA to directory Lab1\TOC_REGAB. Rename the file to TOC_REGAB.v 4. Add the TOC_REGAB.v file to your new project. 5. Change the top-level module name to TOC_REGAB 6. Add your design of the Register B to the Verilog file TOC_REGAB.v, adhering to the following constraints: (i) Connect the Q outputs of the Register B to the LEDs (LEDR7:LEDR4). (ii) Use SW[5] to connect and control the Wn signal of Register B. 7. Compile and upload your design to the FPGA. 8. Test and answer the same test case and questions as for register A, except perform the tests on Register B. OPTIONAL COMPETITION ADDITIONS The following additions are optional and for competition. 10. Adding ALU Add an ALU using the following procedure: 1. Close any existing project. 2. Start the New Project Wizard to create a new project; name it TOC_REGAB_ALU. Place the project in directory Lab1\TOC_REGAB_ALU. Press Next to create the directory.

16 3. Using the OS, copy the file TOC_REGAB.v (Verilog file) from directory Lab1\TOC_REGAB to directory Lab1\TOC_REGAB_ALU. Rename the file to TOC_REGAB_ALU.v 4. Add the TOC_REGAB_ALU.v file to your new project. 5. Change the top level module name to TOC_REGAB_ALU. 6. Add the design of the ALU given in Fig. 16 to the Verilog file TOC_REGAB_ALU.v, adhering to the following constraints: (i) Connect the S control inputs of the ALU to switches SW9:SW6. (ii) Connect the data outputs of the ALU (F) to LEDR[11]:LEDR[8]. (iv) Connect the carry out of the ALU to LEDR[12]. 7. Test the circuit by loading numbers into the registers and performing operations on the ALU, while monitoring the LEDRs for correctness of the operations. Be prepared to demonstrate several examples to the TA. Fig bit ALU. 11. Adding Register R Add Register R using the following procedure: 1. Close any existing project. 2. Start the New Project Wizard to create a new project; name it TOC_REGAB_ALU_R. Place the project in directory Lab1\TOC_REGAB_ALU_R. Press Next to create the directory.

17 3. Using the OS, copy the file TOC_REGAB_ALU.v (Verilog file) from directory Lab1\TOC_REGAB_ALU to directory Lab1\TOC_REGAB_ALU_R. Rename the file to TOC_REGAB_ALU_R.v 4. Add the TOC_REGAB_ALU_R.v file to your new project. 5. Change the top level module name to TOC_REGAB_ALU_R. 6. Add your design of the Register R to the Verilog file TOC_REGAB_ALU_R.v, adhering to the following constraints: (i) Connect the Q outputs of the Register R to the LEDs (LEDR16:LEDR13). (ii) Use SW[10] to connect and control the Wn signal of Register R. 7. Compile and upload your design to the FPGA. 8. Test the circuit by loading numbers into the registers A & B and performing operations on the ALU, while monitoring the LEDR16:LEDR13 for correctness of the operations. Observe that Register R performs a write operation only when its Wn is low and its Clock undergoes a positive transition. Observe that the positive transition is implemented by returning the push button switch KEY0 back up. Demonstrate several examples to the TA. 12. Adding BCD 2 Seven Segment Decoder Add a BCD to seven-segment decoder using the following procedure: 1. Close any existing project. 2. Start the New Project Wizard to create a new project; name it TOC_REGAB_ALU_R_BCD7. Place the project in directory Lab1\TOC_REGAB_ALU_R_BCD7. Press Next to create the directory. 3. Using the OS, copy the file TOC_REGAB_ALU_R.v (Verilog file) from directory Lab1\TOC_REGAB_ALU to directory Lab1\TOC_REGAB_ALU_R_BCD7. Rename the file to TOC_REGAB_ALU_R_BCD7.v 4. Add the TOC_REGAB_ALU_R_BCD7.v file to your new project. 5. Change the top level module name to TOC_REGAB_ALU_R_BCD7. 6. Add your design of the BCD7 to the Verilog file TOC_REGAB_ALU_R_BCD7.v, adhering to the following constraints: (i) Connect the 7 outputs of the BCD to the seven inputs of the seven-segment display HEX0. 7. Compile and upload your design to the FPGA. 8. Test the TOC circuit by loading numbers into the registers A & B and performing operations on the ALU, while monitoring the HEX0 display for correctness of the operations. Be prepared to demonstrate several examples to the TA.

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0 Quartus II Introduction Using Verilog Designs For Quartus II 12.0 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow for

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13 Quartus Prime Introduction Using VHDL Designs For Quartus Prime 17.0 Contents 1 Introduction 2 2 Background 3 3 Getting Started 4 3.1 Quartus Prime Online Help................................................................................................

More information

The development board used in this class is ALTERA s DE The board provides the following hardware:

The development board used in this class is ALTERA s DE The board provides the following hardware: Lab 1 The goal of this lab is to get familiar with the mechanics of designing digital systems using VHDL and ALTERA s FPGAs. The development board used in this class is ALTERA s DE2-115. The board provides

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date: EXPERIMENT # 7: VHDL and DE2 Board Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to create and modify

More information

Quick Tutorial for Quartus II & ModelSim Altera

Quick Tutorial for Quartus II & ModelSim Altera Quick Tutorial for Quartus II & ModelSim Altera By Ziqiang Patrick Huang Hudson 213c Ziqiang.huang@duke.edu Download & Installation For Windows or Linux users : Download Quartus II Web Edition v13.0 (ModelSim

More information

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE Lab 1: Introduction to Verilog HDL and Altera IDE Introduction In this lab you will design simple circuits by programming the Field-Programmable Gate Array (FPGA). At the end of the lab you should be able

More information

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Lab 2 EECE473 Computer Organization & Architecture University of Maine Lab 2: Verilog Programming Instructor: Yifeng Zhu 50 Points Objectives: 1. Quatus II Programming assignment: PIN assignments, LEDs, switches; 2. Download and test the design on Altera DE2 board 3. Create

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Chapter 2 Getting Hands on Altera Quartus II Software

Chapter 2 Getting Hands on Altera Quartus II Software Chapter 2 Getting Hands on Altera Quartus II Software Contents 2.1 Installation of Software... 20 2.2 Setting Up of License... 21 2.3 Creation of First Embedded System Project... 22 2.4 Project Building

More information

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 ERILOG ESCRIPTION AND MPLEMENTATION OF THE ASIC Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 Part 2: Extend the TOC to Achieve a 4-Bit Processor - Done in Other

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In this lab you will get familiar with the concept of using the

More information

EE 231 Fall EE 231 Lab 2

EE 231 Fall EE 231 Lab 2 EE 231 Lab 2 Introduction to Verilog HDL and Quartus In the previous lab you designed simple circuits using discrete chips. In this lab you will do the same but by programming the CPLD. At the end of the

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun

Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun Introduction: Verilog HDL is a hardware description language used to design

More information

FPGA Introductory Tutorial: Part 1

FPGA Introductory Tutorial: Part 1 FPGA Introductory Tutorial: Part 1 This tutorial is designed to assist in learning the basics of the Altera Quartus II v9.0 software. Part 1 of the tutorial will cover the basics of creating a Project,

More information

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1 SignalTap II with Verilog Designs For Quartus II 13.1 1 Introduction This tutorial explains how to use the SignalTap II feature within Altera s Quartus II software. The SignalTap II Embedded Logic Analyzer

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction Introduction to the Altera SOPC Builder Using Verilog Designs 1 Introduction This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 2, 2009 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003 SOPC LAB1 I. Introduction The purpose of this lab is to familiarize you with all the items in the kit. This tutorial tells you how to develop FPGA system in Quartus II. You are ready to begin using the

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London. Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog Objectives By the end of this experiment, you should know: How to design digital circuits using

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA Consider the design of a three-bit prime number detector completed in the MSOE schematic entry tutorial. Figure 1 shows the block diagram and truth table.

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems NIOS-II SoPC: PART-II 1 Introduction This lab has been constructed to introduce the development of dedicated

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17 Page 1/14 Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate and two inverters under the Quartus environment. Upon completion

More information

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent. CSE 352 Tutorial # 4 Synthesizing onto an FPGA Objectives This tutorial will walk you through the steps of implementing a design made in Active-HDL onto the Altera Cyclone II FPGA NOTE: This tutorial contains

More information

IMPLEMENTING COUNTERS

IMPLEMENTING COUNTERS EECS:6660:0xxField Programmable Gate Arrays s11l1_fpga.fm - 1 Lab Assignment #1 Due Thursday, March 31 2011 IMPLEMENTING COUNTERS 1. OBJECTIVES - learning the VHDL implementation process using Language

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 3, 2011 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

EXPERIMENT 1. INTRODUCTION TO ALTERA

EXPERIMENT 1. INTRODUCTION TO ALTERA EXPERIMENT 1. INTRODUCTION TO ALTERA I. Introduction I.I Objectives In this experiment, you will learn computer aided digital design and verification of it using Field Programmable Gate Arrays (FPGA).

More information

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14 Appendix B HDL Entry Tutorial 2 Page 1 of 14 Contents Appendix B HDL Entry Tutorial 2...2 B.1 Getting Started...2 B.1.1 Preparing a Folder for the Project...2 B.1.2 Starting Quartus II...2 B.1.3 Creating

More information

Laboratory Exercise 1

Laboratory Exercise 1 Laboratory Exercise 1 Switches, Lights, and Multiplexers The purpose of this exercise is to learn how to connect simple input and output devices to an FPGA chip and implement a circuit that uses these

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

Lab 2: Introduction to Verilog HDL and Quartus

Lab 2: Introduction to Verilog HDL and Quartus Lab 2: Introduction to Verilog HDL and Quartus September 16, 2008 In the previous lab you designed simple circuits using discrete chips. In this lab you will do the same but by programming the CPLD. At

More information

Chapter 2: Hardware Design Flow Using Verilog in Quartus II

Chapter 2: Hardware Design Flow Using Verilog in Quartus II Chapter 2: Hardware Design Flow Using Verilog in Quartus II 2.1 Introduction to Quartus II System Development Software This chapter is an introduction to the Quartus II software that will be used for analysis

More information

SCHEMATIC DESIGN IN QUARTUS

SCHEMATIC DESIGN IN QUARTUS SCHEMATIC DESIGN IN QUARTUS Consider the design of a three-bit prime number detector. Figure 1 shows the block diagram and truth table. The inputs are binary signals A, B, and C while the output is binary

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT 124 LABORATORY MODULE INTRODUCTION TO QUARTUS II DESIGN SOFTWARE : INTRODUCTION TO QUARTUS II DESIGN SOFTWARE OBJECTIVES To

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with

More information

My First FPGA for Altera DE2-115 Board

My First FPGA for Altera DE2-115 Board My First FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen Outline Complete Your Verilog Design Assign The Device Add a PLL Megafunction Assign the Pins Create a Default TimeQuest SDC File Compile

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date: EXPERIMENT # 6: Quartus II Tutorial and Practice Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board Lecture FPGA-01 DE0 FPGA Development Board and Quartus II 9.1 FPGA Design Software Terasic DE0 Field Programmable Gate Array (FPGA) Development Board 1 May 16, 2013 3 Layout and Components of DE0 May 16,

More information

Lab 3: Standard Combinational Components

Lab 3: Standard Combinational Components Lab 3: Standard Combinational Components Purpose In this lab you will implement several combinational circuits on the DE1 development board to test and verify their operations. Introduction Using a high-level

More information

Using ModelSim to Simulate Logic Circuits for Altera FPGA Devices

Using ModelSim to Simulate Logic Circuits for Altera FPGA Devices Using ModelSim to Simulate Logic Circuits for Altera FPGA Devices This tutorial is a basic introduction to ModelSim, a Mentor Graphics simulation tool for logic circuits. We show how to perform functional

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Tutorial 2 Implementing Circuits in Altera Devices

Tutorial 2 Implementing Circuits in Altera Devices Appendix C Tutorial 2 Implementing Circuits in Altera Devices In this tutorial we describe how to use the physical design tools in Quartus II. In addition to the modules used in Tutorial 1, the following

More information

Engineering 303 Digital Logic Design Spring 2017

Engineering 303 Digital Logic Design Spring 2017 Engineering 303 Digital Logic Design Spring 2017 LAB 1 Introduction to Combo Logic and Quartus Deliverables: 0) A Simple Verilog Combinatorial Circuit 1) A Simple Block Diagram Combinatorial Circuit 2)

More information

Chip Design with FPGA Design Tools

Chip Design with FPGA Design Tools Chip Design with FPGA Design Tools Intern: Supervisor: Antoine Vazquez Janusz Zalewski Florida Gulf Coast University Fort Myers, FL 33928 V1.9, August 28 th. Page 1 1. Introduction FPGA is abbreviation

More information

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools This is a tutorial introduction to the process of designing circuits using a set of modern design tools. While the tools we will be using (Altera

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date:

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date: EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn

More information

Advanced Electronics Lab.

Advanced Electronics Lab. College of Engineering Course Book of 2010-2011 Advanced Electronics Lab. Mr. Araz Sabir Ameen M.Sc. in Electronics & Communications ALTERA DE2 Development and Education Board DE2 Package: The DE2 package

More information

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA Laboratory 4 Design a Muti-bit Counter and Programming a FPGA For your report: The problem written in English The flowchart or function table to solve the problem if it is necessary The design entry included

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1 Introduction to the Altera Qsys System Integration Tool For Quartus Prime 15.1 1 Introduction This tutorial presents an introduction to Altera s Qsys system integration tool, which is used to design digital

More information

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA For your report: The problem written in English The flowchart or function table to solve the problem if it is necessary The design

More information

Making Qsys Components. 1 Introduction. For Quartus II 13.0

Making Qsys Components. 1 Introduction. For Quartus II 13.0 Making Qsys Components For Quartus II 13.0 1 Introduction The Altera Qsys tool allows a digital system to be designed by interconnecting selected Qsys components, such as processors, memory controllers,

More information

CME341 Laboratory Manual

CME341 Laboratory Manual CME341 Laboratory Manual Created by Eric Salt Created June 30, 2012 Revised Nov. 30, 2012 Revised Dec. 13, 2012 (to end of Lab 2) Revised Dec. 18, 2012 (to end of Lab 3) Revised Jan. 13, 2013 (to end of

More information

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Equipment and Components Quartus software and Altera DE2-115 board PART 1: Number Representation in Microsoft Calculator. First, let s

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In the last lab we learned that Verilog is a fast and easy way

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

AN 818: Static Update Partial Reconfiguration Tutorial

AN 818: Static Update Partial Reconfiguration Tutorial AN 818: Static Update Partial Reconfiguration Tutorial for Intel Stratix 10 GX Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Static

More information

Experiment VERI: FPGA Design with Verilog (Part 1)

Experiment VERI: FPGA Design with Verilog (Part 1) Experiment VERI: Department of Electrical & Electronic Engineering 2nd Year Laboratory Experiment VERI: FPGA Design with Verilog (Part 1) (webpage: www.ee.ic.ac.uk/pcheung/teaching/e2_experiment /) Objectives

More information

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1 Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor For Quartus Prime 16.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

Altera Quartus II Tutorial ECE 552

Altera Quartus II Tutorial ECE 552 Altera Quartus II Tutorial ECE 552 Quartus II by Altera is a PLD Design Software which is suitable for high-density Field-Programmable Gate Array (FPGA) designs, low-cost FPGA designs, and Complex Programmable

More information

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation Appendix D Tutorial 3 This tutorial introduces more advanced capabilities of the Quartus II system. We show how Verilog code is organized and compiled and illustrate how multibit signals are represented

More information

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN...

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN... CONTENTS CHAPTER 1 INTRODUCTION... 1 1.1 DESIGN FLOW... 1 1.2 BEFORE YOU BEGIN... 2 1.3 WHAT YOU WILL LEARN... 6 CHAPTER 2 ASSIGN THE DEVICE... 7 2.1 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY... 11

More information

University Program 3 Kit

University Program 3 Kit University Program 3 Kit VLSI Tutorial : LEDs & Push Buttons Version 02.00 System Level Solutions Inc. (USA) 14702 White Cloud Ct. Morgan Hill, CA 95037 2 System Level Solutions Copyright 2003-2005 System

More information

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

AN 818: Static Update Partial Reconfiguration Tutorial

AN 818: Static Update Partial Reconfiguration Tutorial AN 818: Static Update Partial Reconfiguration Tutorial for Intel Stratix 10 GX FPGA Development Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF

More information

Laboratory 4 Design a Muti-bit Counter

Laboratory 4 Design a Muti-bit Counter Laboratory 4 Design a Muti-bit Counter Background A. Approach I: Design 3-bit counter with and clear T-type flip-flop is shown in Figure 1. A T flip-flop is obtained from a JK flip-flop by tying the J

More information

QuartusII.doc 25/02/2005 Page 1

QuartusII.doc 25/02/2005 Page 1 1 Start Icon... 2 1.1 The Quartus II Screen... 2 2 Project creation... 2 3 Schematic entry... 5 3.1 Create new drawing... 5 3.2 Symbol selection... 7 3.3 Placement of an AND gate... 8 3.4 Deleting a symbol...

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

ECE241 - Digital Systems. University of Toronto. Lab #2 - Fall Introduction Computer-Aided Design Software, the DE2 Board and Simple Logic

ECE241 - Digital Systems. University of Toronto. Lab #2 - Fall Introduction Computer-Aided Design Software, the DE2 Board and Simple Logic ECE24 - Digital Sstems Universit of Toronto Lab #2 - Fall 28 Introduction Computer-Aided Design Software, the DE2 Board and Simple Logic. Introduction The purpose of this eercise is to introduce ou to

More information

Laboratory Experiment Mastering Digital Design

Laboratory Experiment Mastering Digital Design MSc Course on Analogue and Digital IC Design Laboratory Experiment Mastering Digital Design (webpage: http://www.ee.ic.ac.uk/pcheung/teaching/msc_experiment/) This Laboratory Experiment is intended to

More information

The University of Toledo EECS:6660:0xxField Programmable Gate Arrays s09l1.fm - 1 Dr. A.D. Johnson

The University of Toledo EECS:6660:0xxField Programmable Gate Arrays s09l1.fm - 1 Dr. A.D. Johnson EECS:6660:0xxField Programmable Gate Arrays s09l1.fm - 1 Lab Assignment #1 Due: Friday, February 27 2009 Introduction to Altera Quartus II Environment using VHDL Entry 1. Objectives - introduction to Quartus

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

ECE241 - Digital Systems

ECE241 - Digital Systems ECE24 - Digital Sstems Universit of Toronto Lab 2: Introduction Computer-Aided Design Software, the DE2 Board and Simple Logic. Introduction The purpose of this eercise is to introduce the software tools

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

Using Library Modules in Verilog Designs. 1 Introduction. For Quartus II 13.0

Using Library Modules in Verilog Designs. 1 Introduction. For Quartus II 13.0 Using Library Modules in Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how Altera s library modules can be included in Verilog-based designs, which are implemented by using

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim Purpose Define logic expressions in Verilog using register transfer level (RTL) and structural models. Use Quartus II to

More information