Defect Repair for EUVL Mask Blanks

Size: px
Start display at page:

Download "Defect Repair for EUVL Mask Blanks"

Transcription

1 Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence Berkeley National Laboratory Auspices This work was performed under the auspices of the US Department of Energy by the University of California, Lawrence Livermore National Laboratory under contract No. W-7405-Eng-48. Funding was provided by the Extreme Ultraviolet Limited Liability Company (EUV LLC) under a Cooperative Research and Development Agreement. EUV mask blank repair, slide 1

2 Optional new repair step to increase yield of useable mask blanks Polished and inspected substrates from supplier per SEMI P37 Deposit substrate smoothing layer Deposit EUV multilayer stack Inspect coated blank for defects Too many defects No defects Repair defects and reinspect Mask blank meets customer specifications per SEMI P38 Ready for patterning New option of mask blank repair added An integrated process for defect repair to increase yield of useable mask blanks EUV mask blank repair, slide 2

3 Multilayer defect repair enables the yield of useable mask blanks to be increased, decreasing the mask Cost of Ownership With multilayer defect repair, total mask blank defect density can be cm -2 Mask price $100,000 $80,000 $60,000 $40,000 $20,000 $ Printable Mask Blank Defect Density (cm-2) ) Price=$1500, without repair Price=$1500, with repair Price=$5000, without repair Price=$5000, with repair Multilayer mask blank defect repair process Multilayer deposition Defect inspection Defect review with AIMS E-beam repair for substrate defects FIB repair for defects near surface EUV mask blank repair, slide 3 Acknowledgment: Scott hector, Motorola

4 Defect classification Phase defects Amplitude defects Incident EUV Incident EUV Wafer plane image Wafer plane image EUV mask blank repair, slide 4

5 CCD Camera Optical Microscope Overall concept: An integrated inspection-repair-review capability From initial inspection tool Integrated defect repair tool Phase defect repair (e-beam repair) EUV vendor AIM tool Classification and review Actinic inspection AFM Mask inspection microscope Amplitude defect repair (FIB repair) Evaluation Successful repair Repair not possible Repair unsuccessful EUV mask blank repair, slide 5

6 Defect classification Phase defects Amplitude defects Incident EUV Incident EUV Wafer plane image Wafer plane image EUV mask blank repair, slide 6

7 Amplitude defect repair strategy Damaged top layers are removed locally with a focused ion beam to expose undamaged layers ion beam Raster beam to produce a smooth profile Capping layer protects exposed materials in repaired region EUV mask blank repair, slide 7

8 Feasibility of amplitude defect repair demonstrated in proof-of-principle experiments 500eV Ar ion beam Silicon target 1000eV, 500nA Ar ion beam Multilayer Sputtered Si Craters of suitable profile have been made and inspected Reflectivity variation of >5% observed with no capping layer Reflectivity drop ~ 3% with SiC cap layer Other capping layer materials also show promise (eg: C, Ru, Mo Silicide) Actinic reflectivity profile R max beforehand (66%) (no milling or Si dep) 3.5% drop Secondary electron image EUV mask blank repair, slide 8

9 Removing a small number of surface layers has minimal effect on the phase of the reflected field Reflection does not take place at the surface... Rather it takes place through the bulk of the multilayer film In vacuum: λ 0 OPD = 2(1 n1 )h(x) h If the slope of the crater is sufficiently small it will not print within the exposure process window. In ML: λ 1 = 2d Refractive index, n 1 = λ 0 / λ 1 : n 1 = 0.97 For a 20 bi-layer (130nm) deep defect the crater must be 4um or more in diameter so that it does not print. AIM tool used to help determine defect depth and assess success of repair Multilayer reflection forces all waves to be in phase inside the multilayer EUV mask blank repair, slide 9 Resultant phase shift is small: Refractive index difference between the ML and vacuum is small

10 Reflectivity loss associated with layer removal is minimal if sufficient bi-layers remain after the repair process Calculated Reflectivity reflectivity vs number vs number of bi-layers of bi-layers removed removed 20 or more bi-layers can be removed with little effect on reflectivity Original reflectivity Layer removal will lead to a change in reflectivity across repair region EUV mask blank repair, slide 10 In this case the original multilayer is 60 bi-layers thick Ripple is due to alternating exposed layers of Molybdenum and Silicon as top surface

11 Capping layer material must be carefully selected to minimise reflectivity variation across the repaired region Reflectivity of multilayer depends on terminating material We can calculate the expected reflectivity for various capping layers: No capping layers and no oxidation of exposed layers 40Å Si capping layer deposited (oxidises to 20Å Si + 30Å SiO 2 ) Local reflectivity 1.5% variation in reflectivity predicted 3-4% variation observed Local reflectivity 9.6% variation in reflectivity Position Position EUV mask blank repair, slide % variation in reflectivity expected from exposing Molybdenum as the terminating layer 3-4% variation observed experimentally, attributed to oxidation of exposed Molybdemum layers

12 An example of how amplitude defect repair can mitigate the effect of serious amplitude defects Without repair Resist image With amplitude defect repair Resist image (Mask cross-section) (Mask cross-section) EUV mask blank repair, slide 12 Amplitude defect in proximity to isolated line without repair Parameters for this simulation: 100% opaque 140nm square defect (at mask) 3% reflectivity variation after repair 35nm isolated line (at wafer) 0.25NA camera, σ=0.7 Threshold resist prints to 35nm in focus Best-focus image shown here With amplitude repair the defect is removed. Residual variation in reflectivity within repaired area leads to a small variation in CD

13 Reflectivity variation within repaired region leads to a smaller change in CD across repaired region than without repair 35nm isolated line NA = 0.25 Original defect has >10% reflectivity variation R range currently demonstrated for amplitude repair Amplitude repair reduces R to ~3.5% across repair area EUV mask blank repair, slide 13 R ~ 3.5% leads to CD/CD ~ 2.5%

14 Defect classification Phase defects Amplitude defects Incident EUV Incident EUV Wafer plane image Wafer plane image EUV mask blank repair, slide 14

15 Phase defect repair uses thermally induced layer contraction to repair layer structure in the multilayer defect area Original defect Thermal source (electron beam) After repair Energy density, Log 10 de/dv (kev/µm 3 ) EUV mask blank repair, slide

16 Thermally induced layer contraction is used to repair multilayer structure Thermal source (electron beam) 550 Top layers after repair 4 2 Expected layer profiles Top layer th layer Height (nm) th layer Energy density, Log 10 de/dv (kev/µm 3 ) 110nm diameter defect under ion-smoothed 80-period multilayer film Position (nm) -4 EUV mask blank repair, slide µA e-beam of 100nm FWHM applied at 16kV for 900ms

17 Proof-of-principle experiments demonstrate the feasibility of phase defect repair strategy 3-10µm depressions have been formed in multilayers using commercial E-beam tools Need to reduce beam size to nm diameter for defect repair Auger Electron Beam 10µm -37nm 0nm 0nm Electron Micro Beam 1sec 10sec -45nm 10µm 8nm depression, ~8µm FWHM (10kV, 1µA) 40nm depression, ~3µm FWHM (15kV, 1µA) Further development required: Measure reflectivity and phase impact (we are limited by inspection tools!) Progress to smaller beam sizes (80-150nm diameter, ~1 µa ) EUV mask blank repair, slide 17

18 Phase Electromagnetic modeling predicts improved phase structure Rigorous Coupled-wave analysis (RCWA) used to compute phase and amplitude: (Acknowledgment: Eric Gullikson, LBNL) Before repair After repair Amplitude EUV mask blank repair, slide Phase improved, but reflectivity is degraded Printability analysis required

19 Small wavelength shift is induced by layer contraction Before repair After repair λ= -1.9Å λ= -3.05Å EUV mask blank repair, slide 19 really need to assess how the defect prints

20 An example of how phase defect repair can mitigate the effect phase defects in the printed image Without repair With repair CD CD = +55% CD CD = +16% EUV mask blank repair, slide nm sphere under 80 bi-layers, phase defect in proximity to 35nm isolated line, 0.25NA camera, σ=0.7, threshold resist prints to 35nm in focus Further refinement of repair may be possible, Results depend on printing variables (σ, NA, resist threshold, etc.)

21 Synopsis A reasonable strategy for the repair of phase and amplitude defects in multilayer coated mask blanks has been developed and extensively modeled by the VNL. Aerial image calculations indicate repair methods significantly reduce defect printability CD CD 2.5% CD CD = +55% CD CD = +16% Proof-of-principle experiments demonstrate feasibility of repairing phase and amplitude defects in multilayer coated mask blanks 0nm -45nm 10µm EUV mask blank repair, slide 21 Secondary electron image

22 Defect classification is possible using an AIM microscope Amplitude defect Amplitude defects blur symmetrically through focus Imaged phase defects cause contrast reversal through focus This can be used to distinguish the two types of defects Image Imaging system Phase defect Defect -100nm In focus +100nm EUV mask blank repair, slide 22 Amplitude defect absorb >1% of reflected light but have little phase structure 50nm sphere on the substrate nucleates phase defect at surface (Gaussian HW = 84nm, h=3.3nm = half wave phase error, 25:1 aspect ratio)

23 With repair available process window is increased Without repair Defect process window With repair Process window after repair 110nm diameter (big!) defect under 80-bilayers of ion-smoothed multilayer coating Contours are the worst-case CD change of a 35nm line in the presence of a defect Dashed line is +/-10% process window for an isolated 35nm line Contours will be different for different types of defect - need to explore parameter space EUV mask blank repair, slide 23 This is the current status for a worst-case defect: further refinement of repair parameters likely.

24 A small image shift will be caused by off-axis illumintion 6 Illumination Absorber pattern Due to surface dip the absorber layers are deposited at slightly different depths Beam incident at 6 degree angle This has an effect on image placement d d shift at mask shift at wafer (nm) (nm) (nm) EUV mask blank repair, slide 24

Extreme Ultraviolet Phase Contrast Imaging

Extreme Ultraviolet Phase Contrast Imaging Extreme Ultraviolet Phase Contrast Imaging Gregory Denbeaux 1, Rashi Garg 1, Andy Aquila 2, Anton Barty 3, Kenneth Goldberg 2, Eric Gullikson 2, Yanwei Liu 2, Obert Wood 4 1, University at Albany, Albany,

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Measurement and characterization of EUV mask performance at high-na

Measurement and characterization of EUV mask performance at high-na Measurement and characterization of EUV mask performance at high-na Rikon Chao Andrew R. Neureuther, Ed. Laura Waller, Ed. Patrick Naulleau, Ed. Electrical Engineering and Computer Sciences University

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

ABM's High Resolution Mask Aligner Features:

ABM's High Resolution Mask Aligner Features: ABM's High Resolution Mask Aligner is a very versatile instrument with interchangeable light sources which allow Near-UV (405-365 nm) as well as Mid- and Deep-UV (254 nm, 220 nm) exposures in proximity

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

MEASUREMENT OF PATTERNED WAFER SURFACE DEFECTS USING ANNULAR EVANESCENT LIGHT ILLUMINATION METHOD

MEASUREMENT OF PATTERNED WAFER SURFACE DEFECTS USING ANNULAR EVANESCENT LIGHT ILLUMINATION METHOD XVIII IMEKO WORLD CONGRESS Metrology for a Sustainable Development September, 17 22, 26, Rio de Janeiro, Brazil MEASUREMENT OF PATTERNED WAFER SURFACE DEFECTS USING ANNULAR EVANESCENT LIGHT ILLUMINATION

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

MEMS SENSOR FOR MEMS METROLOGY

MEMS SENSOR FOR MEMS METROLOGY MEMS SENSOR FOR MEMS METROLOGY IAB Presentation Byungki Kim, H Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess 9/24/24 OUTLINE INTRODUCTION Motivation Contact/Noncontact measurement Optical interferometer

More information

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Development of EUV-Scatterometry for CD Characterization of Masks PB Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Jan Perlich, Frank-Michael Kamm, Jenspeter Rau nfineon

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

Spectroscopic Ellipsometer --- J. A. Woollam alpha-se

Spectroscopic Ellipsometer --- J. A. Woollam alpha-se Spectroscopic Ellipsometer --- J. A. Woollam alpha-se Introduction Figure 1: J. A. Woollam alpha-se spectroscopic ellipsometer An ellipsometer measures the change in polarization as light reflects or transmits

More information

Determining Surface Roughness Using Extreme Ultraviolet Light. Joshua Marx

Determining Surface Roughness Using Extreme Ultraviolet Light. Joshua Marx Determining Surface Roughness Using Extreme Ultraviolet Light Joshua Marx A senior thesis submitted to the faculty of Brigham Young University in partial fulfillment of the requirements for the degree

More information

Mirror Example Consider a concave mirror radius -10 cm then = = Now consider a 1 cm candle s = 15 cm from the vertex Where is the image.

Mirror Example Consider a concave mirror radius -10 cm then = = Now consider a 1 cm candle s = 15 cm from the vertex Where is the image. Mirror Example Consider a concave mirror radius -10 cm then r 10 f = = = 5 cm 2 2 Now consider a 1 cm candle s = 15 cm from the vertex Where is the image 1 s 2 1 = = r s 1 1 2 + = = s s r 1 1 = 0.13333

More information

X-Ray fluorescence and Raman spectroscopy

X-Ray fluorescence and Raman spectroscopy X-Ray fluorescence and Raman spectroscopy Advanced physics laboratory (nd part) 4CFU Catalini Letizia, De Angelis Giulia Vittoria, Piselli Verdiana Abstract In this paper we report about two different

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Extreme ultraviolet mask substrate surface roughness effects on lithography patterning Permalink https://escholarship.org/uc/item/0n66t43w

More information

THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK POSITIONS

THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK POSITIONS Copyright JCPDS - International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Volume 46. 232 THE INFLUENCE OF SURFACE ROUGHNESS ON THE REFRACTION OF X-RAYS AND ITS EFFECT ON BRAGG PEAK

More information

MICHELSON S INTERFEROMETER

MICHELSON S INTERFEROMETER MICHELSON S INTERFEROMETER Objectives: 1. Alignment of Michelson s Interferometer using He-Ne laser to observe concentric circular fringes 2. Measurement of the wavelength of He-Ne Laser and Na lamp using

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

E x Direction of Propagation. y B y

E x Direction of Propagation. y B y x E x Direction of Propagation k z z y B y An electromagnetic wave is a travelling wave which has time varying electric and magnetic fields which are perpendicular to each other and the direction of propagation,

More information

FST s status on EUV Pellicle & Inspection System Development

FST s status on EUV Pellicle & Inspection System Development FST s status on EUV Pellicle & Inspection System Development OCT.04, 2015 EUV Pellicle TWG @ Imec, nl. Donwon Park FST (Korea) http://www.fstc.co.kr FST Business Segments Division Pellicle TCU (Temperature

More information

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans Advanced modelling of gratings in VirtualLab software Site Zhang, development engineer Lignt Trans 1 2 3 4 Content Grating Order Analyzer Rigorous Simulation of Holographic Generated Volume Grating Coupled

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Thomas A. Germer a and Lipiin Sung a,b a National Institute of Standards and

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Reflectivity Calculation Program

Reflectivity Calculation Program Reflectivity Calculation Program This optional program allows calculation of the reflectivity spectrum at any incidence angle from the wavelength distribution of the sample n and k values. Additionally,

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques

Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Stress Reduction during Silicon Thinning Using Thermal Relaxation and 3D Curvature Correction Techniques Jim Colvin Consultant Heenal Patel, Timothy Hazeldine Ultra Tec Manufacturing, Santa Ana, USA Abstract

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Sample study by 3D optical profiler Contour Elite K for KTH university.

Sample study by 3D optical profiler Contour Elite K for KTH university. Sample study by 3D optical profiler Contour Elite K for KTH university Samuel.lesko@bruker.com Objectives Objectives Main goals for the visit consist of evaluating 3D optical profiler: Confirm capability

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Product Specifications

Product Specifications Product Specifications The ksa RateRat Pro is a turnkey, real-time, in-situ optical reflectance probe designed for deposition monitoring of semi-absorbent thin films. The RateRat Pro measures deposition

More information

Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z. direction.

Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z. direction. Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z direction. Supplementary Figure 2: The nanorod functions as a half-wave plate. The fast axis of the waveplate is parallel to

More information

Four-zone reflective polarization conversion plate

Four-zone reflective polarization conversion plate Four-zone reflective polarization conversion plate A.G. Nalimov a,b, S.S. Stafeev* a,b, L, O Faolain c, V.V. Kotlyar a,b a Image Processing Systems Institute of the RAS, 151 Molodogvardeyskaya st., Samara,

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI MLI INTRODUCTION GUIDE Table of Contents MLI, the Company Introduction of MLI Why MLI MLI Test Equipments Pellicle Introduction Pellicle Film Transmission Pellicle Mounting Tool MLI Quality System 3 4

More information

Renishaw invia Raman Microscope (April 2006)

Renishaw invia Raman Microscope (April 2006) Renishaw invia Raman Microscope (April 2006) I. Starting the System 1. The main system unit is ON all the time. 2. Switch on the Leica microscope and light source for reflective bright field (BF) imaging.

More information

Determination of mask layer stress by placement metrology

Determination of mask layer stress by placement metrology Determination of mask layer stress by placement metrology Jörg Butschke a*, Ute Buttgereit b, Eric Cotte c, Günter Hess b, Mathias Irmscher a, Holger Seitz b a IMS Chips, Allmandring 30a, D-70569 Stuttgart,

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

AP* Optics Free Response Questions

AP* Optics Free Response Questions AP* Optics Free Response Questions 1978 Q5 MIRRORS An object 6 centimeters high is placed 30 centimeters from a concave mirror of focal length 10 centimeters as shown above. (a) On the diagram above, locate

More information

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms Supporting Materials Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms Bo Wang,, Fengliang Dong,, Qi-Tong Li, Dong Yang, Chengwei Sun, Jianjun Chen,,

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Surface and thickness measurement of a transparent film using wavelength scanning interferometry

Surface and thickness measurement of a transparent film using wavelength scanning interferometry Surface and thickness measurement of a transparent film using wavelength scanning interferometry Feng Gao, Hussam Muhamedsalih, and Xiangqian Jiang * Centre for Precision Technologies, University of Huddersfield,

More information

Laser Diagnostic for High-Energy, Laser Fusion Drivers

Laser Diagnostic for High-Energy, Laser Fusion Drivers UCRL-JC-119065 PREPRINT Laser Diagnostic for High-Energy, Laser Fusion Drivers D S. C. Burkhart Wm. Behrendt Ian Smith This paper was prepared for submittal to the Conference on Lasers and Electro-Optics

More information

Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization

Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization Improvement of the correlative AFM and ToF-SIMS approach using an empirical sputter model for 3D chemical characterization T. Terlier 1, J. Lee 1, K. Lee 2, and Y. Lee 1 * 1 Advanced Analysis Center, Korea

More information

Discussion Question 13A P212, Week 13 Electromagnetic Waves

Discussion Question 13A P212, Week 13 Electromagnetic Waves Discussion Question 13A P1, Week 13 Electromagnetic Waves This problem is a continuation of discussion question 1B from last week. Please refer to your work from last week as necessary. A laser beam travels

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

EMPIR Grant Agreement 14IND07 3D Stack

EMPIR Grant Agreement 14IND07 3D Stack EMPIR Grant Agreement 14IND07 3D Stack Good Practice Guide: Recommendations on the strategy for measuring the dimensional properties of TSVs based on Confocal microscopy, IR interferometry and optical

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired tangential form. (a) The light from the sources and scatterers in the half space (1) passes through the

More information

Crystal Quality Analysis Group

Crystal Quality Analysis Group Crystal Quality Analysis Group Contents Contents 1. Overview...1 2. Measurement principles...3 2.1 Considerations related to orientation and diffraction conditions... 3 2.2 Rocking curve measurement...

More information

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts.

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Telecentric attachment gives you the world s first parfocal telecentric zoom lens with field coverage up to 50 mm. Increased

More information

Article 3D Topography Mask Aligner

Article 3D Topography Mask Aligner Article 3D Topography Mask Aligner Lithography Simulation Ulrich Hofmann, Nezih Ünal GenISys GmbH 82024 Taufkirchen Germany Ralph Zoberbier SUSS MicroTec Lithography GmbH 85748 Garching Germany Ton Nellissen

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

Instruction Manual for Modelling of Trap Detectors. Helsinki University of Technology. Metrology Research Institute. Instruction Manual for

Instruction Manual for Modelling of Trap Detectors. Helsinki University of Technology. Metrology Research Institute. Instruction Manual for Page 1 (10) Helsinki University of Technology Metrology Research Institute Instruction Manual for Modelling Version: 2.2 Date of Issue: December 21, 2005 Page 2 (10) Table of Contents 1. INTRODUCTION 3

More information

Physics 123 Optics Review

Physics 123 Optics Review Physics 123 Optics Review I. Definitions & Facts concave converging convex diverging real image virtual image real object virtual object upright inverted dispersion nearsighted, farsighted near point,

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Outline. Abstract. Modeling Approach

Outline. Abstract. Modeling Approach EUV Interference Lithography Michael Goldstein ϕ, Donald Barnhart λ, Ranju D. Venables ϕ, Bernice Van Der Meer ϕ, Yashesh A. Shroff ϕ ϕ = Intel Corporation (www.intel.com), λ = Optica Software (www.opticasoftware.com)

More information

Estimation of Surface and Interface Roughness Using X-ray Reflectivity and TEM Observation

Estimation of Surface and Interface Roughness Using X-ray Reflectivity and TEM Observation Advances in Analytical Chemistry 3 3(: 9-4 DOI:.593/.aac.33. Estimation of Surface and Interface oughness Using X-ray eflectivity and TEM Observation Yoshiau Fuii Kobe University obe 657-85 Japan Abstract

More information

Mag.x system 125 A new high end modular microscope. Dr. Ralf Großkloß QIOPTIQ

Mag.x system 125 A new high end modular microscope. Dr. Ralf Großkloß QIOPTIQ Mag.x system 125 A new high end modular microscope Dr. Ralf Großkloß QIOPTIQ Mag.x system 125 A new high end modular microscope Dr. Ralf Großkloß QIOPTIQ Resolution Speed Sensitivity Qioptiq 2011 3 Optical

More information

Woollam M2000 Operation Manual

Woollam M2000 Operation Manual Woollam M2000 Operation Manual The Woollam M2000 is a spectroscopic ellipsometer used to characterize optically transparent films. The system has the Near IR upgrade that covers 700 wavelengths from 193nm

More information

Specification of Thin Film Thickness Measuring Equipment

Specification of Thin Film Thickness Measuring Equipment of Thin Film Thickness Measuring Equipment A. Application: Major application is for solar cell thin film thickness measurement for films such as CIGS, CdS, ITO, ZnO etc. deposited on substrates such as

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual J.A. Woolam M-2000V Spectroscopic Ellipsometer Version 1.0 Page 1 of 13 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Features 2.3 Sample

More information

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR John C. Fleming Ball Aerospace, jfleming@ball.com Sandra Collins, Beth Kelsic, Nathan Schwartz, David Osterman, Bevan Staple Ball Aerospace, scollins@ball.com

More information

MICROSPHERE DIMENSIONS USING 3D PROFILOMETRY

MICROSPHERE DIMENSIONS USING 3D PROFILOMETRY MICROSPHERE DIMENSIONS USING 3D PROFILOMETRY Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010 NANOVEA

More information

OPSE FINAL EXAM Fall CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed.

OPSE FINAL EXAM Fall CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed. CLOSED BOOK. Two pages (front/back of both pages) of equations are allowed. YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT. ALL NUMERICAL ANSERS MUST HAVE UNITS INDICATED.

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction

A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction , pp.41-45 http://dx.doi.org/10.14257/astl.2016.140.08 A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction Seung Dae Lee 1 1* Dept. of Electronic Engineering, Namseoul

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Light II. Physics 2415 Lecture 32. Michael Fowler, UVa

Light II. Physics 2415 Lecture 32. Michael Fowler, UVa Light II Physics 2415 Lecture 32 Michael Fowler, UVa Today s Topics Huygens principle and refraction Snell s law and applications Dispersion Total internal reflection Huygens Principle Newton s contemporary

More information

Interference with polarized light

Interference with polarized light Interference with polarized light Summary of the previous lecture (see lecture 3 - slides 12 to 25) With polarized light E 1 et E 2 are complex amplitudes: E 1 + E 2 e iϕ 2 = E 1 2 + E 2 2 + 2 Re(E 1 *

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate length Gate oxide A good reference is http://jas2.eng.buffalo.edu/applets/education/fab/nmos/nmos.html

More information

Chapter 2: Wave Optics

Chapter 2: Wave Optics Chapter : Wave Optics P-1. We can write a plane wave with the z axis taken in the direction of the wave vector k as u(,) r t Acos tkzarg( A) As c /, T 1/ and k / we can rewrite the plane wave as t z u(,)

More information

Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask

Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask Microelectronic Engineering 84 (2007) 711 715 www.elsevier.com/locate/mee Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask Chun-Hung Lin a,

More information