Samsung System LSI Business

Size: px
Start display at page:

Download "Samsung System LSI Business"

Transcription

1 Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32

2 Disclaimer The materials in this report include forward-looking statements which can generally be identified by phrases such as Samsung Electronics (SEC) or its management "believes," "expects," "anticipates," "foresees," "forecasts," "estimates" or other words or phrases of similar implications. Similarly, such statements that describe the company's business strategy, outlook, objectives, plans, intentions or goals are also forward-looking statements. All such statements are subject to certain risks and uncertainties that could cause actual results to differ materially from those in the presentation files above. For us, particular uncertainties which could adversely or positively affect our future results include: The behavior of financial markets including fluctuations in exchange rates, interest rates and commodity prices Strategic actions including dispositions and acquisitions Unanticipated dramatic developments in our major businesses including CE (Consumer Electronics), IM (IT & Mobile communications), DS (Device Solutions) Numerous other matters at the national and international levels which could affect our future results These uncertainties may cause our actual results to be materially different from those expressed in this report. 1/32

3 System Semiconductor Industry Samsung System LSI : Now Looking Forward

4 Market of Mobile Device Smartphone Tablet (billion units) % 22% (E) 2015(E) (billion units) % 58% (E) 2015(E) Premium Mid + Low Premium Mid + Low * Source : Gartner, Strategy Analytics, Q * Source : Gartner, Q 3/32

5 Computing/Bandwidth Computing Power New applications require higher computing/bandwidth Dual Camcording 1080p Video Single Camcording Voice Command Location Based Service Web browsing 4/32 Resolution

6 Resolution Display Trend Higher resolution Display FHD-class Display UHD (3,840x2,160) HD (1,280x720) FHD (1,920x1,080) WQHD (2,560x1,440) HD-class Display (E) 5/32

7 Resolution Camera Sensor Trend Image quality enhancement is also improving Camera Sensor 16Mp 20Mp Wide Dynamic Range 13Mp Image Stabilization 8Mp Wide Dynamic Range Face Detection 3Mp 5Mp Face Detection Image stabilization (E) 6/32

8 System Semiconductor Industry Samsung System LSI : Now Looking Forward

9 Three business areas SOC LSI AP Connectivity Foundry Image Sensor Display Driver IC Smart Card IC Power Management IC Foundry 8/32

10 Product Portfolio Powerful CPU/GPU Low Power Camera Sensor Pixel Leadership Slim Solution Power Sequence Control & I2C Interface PMIC line: AP & OLED High Efficiency High Accuracy PMIC WiFi/BT GPS vt NFC SIM World s Best Performance Low Power Consumption High Density e-se Module Smartphone IoT Display Driver IC T-Con Full line up for next FHD Lower Power solution Fast Speed (New Architecture) edram T-con Backlight control 9/32

11 1. AP (Application Processor) Focusing on high performance with low power consumption CPU Leadership Powerful GPU Low Power Game changing technology : big.little octa core Richer 3D graphics with Energy efficiency Advanced mobile process & design methodologies Low High big LITTLE Low High 10/32

12 Computing Power Has been leading AP industry since 2009 Innovation in both Architecture & Silicon Technology (DMIPS) 30,000 20,000 Cortex-A9 Quad 1.4GHz Cortex-A15 Octa 1.6GHz Cortex-A15 2.xGHz 10,000 ARM11 800MHz Cortex-A8 1.0GHz Cortex-A9 Dual 1.2GHz * Source : Samsung Electronics Co., Ltd., ARM *DMIPS : Dhrystone Million Instructions Per Second 11/32

13 big.little Architecture Best of both worlds: high performance and low energy Heterogeneous architecture for energy efficiency big C-A15 LITTLE C-A /32

14 big.little Architecture 20% gain at performance and energy, respectively Performance Higher is Better + 20% Energy Lower is Better -15 ~ 20% big.little Conventional big.little Conventional *Source : Samsung Electronics Co., LTD, Q *Source : Samsung Electronics Co., LTD, Q *Conventional : big CPU only 13/32

15 Advanced Silicon Process Leadership in low-power, advanced silicon process Vdd 90/65nm 45nm 32nm 28nm Strained Si 1.2V ULK 1.1V 1 st Gen HK/MG 1.0V 2 nd Gen HK/MG 1.0V 20nm m 1 st Gate Last HK/MG 0.9V 14nm 1 st Gen FinFET 0.8V 10nm 2 nd Gen FinFET 2006, (E) 0.7V * Source : Samsung Electronics Co., Ltd. *Vdd : Supplying voltage of drain 14/32 Process Node

16 2. Image Sensor Higher resolution & smaller pixel have driven sensor industry 5.6 Pixel Pitch (um) Back-side Illumination Pixel 5Mp 8Mp 13Mp 12Mp ISOCELL Wide Dynamic Range Resolution Mp 1.8 VGA 1.3Mp 2Mp Standard Mobile Imaging Architecture (SMIA) Sub 1um * Source : Samsung Electronics Co., Ltd. 15/32

17 Pixel Architecture To increase sensitivity and decrease light loss & crosstalk Gap-less u-lens Increase sensitivity Deep PD Reduce loss in Si Light-guide Reduce metal layer reflection u-lens C/F Without color interference ISOCELL No light loss Metal Photo-diode Conventional Light-guide Back-side illumination Remove metal layer reflection With color interference G R G R Photo Diode Photo Diode Back-side illumination Photo Diode Photo Diode ISOCELL 16/32 Front-side illumination Back-side illumination

18 New Products for Mp ISOCELL Sensor with 1.12um pixels - Main sensor for smartphones - Wide dynamic range & Auto focus Next APS-C sensor - Sensor for mirror-less cameras 17/32

19 3. Foundry Advanced technology and continuous capacity growth Customers One stop IP shopping and design support Technology & Capacity IP & Design Support Best service for development and mass production Service Partnership with customers 18/32

20 14nm Achievement World s leading 14nm FinFET solution via collaboration Samsung : 14nm FinFET test sample & Design Infrastructure ready ARM, Cadence, Synopsys & Mentor FinFET Design Enablement Platform First Cortex-A7 implementation 19/32

21 Technology & Capacity Samsung IBM ISDA STMicro. Giheung, KR GlobalFoundries Austin, Texas, USA Hwaseong, KR * ISDA : International Semiconductor Development Alliance 20/32

22 System Semiconductor : Introduction Samsung System LSI : Now Looking Forward

23 1 Widcon & TSV (Through Silicon Via) 2 64-bit CPU 3 FinFET Process 22/32

24 Widcon with TSV Wide connection between logic and memory Higher bandwidth, lower power consumption Memory Stacking with TSV Short Connection with TSV Micro Bump u-bump DRAM AP Package PCB 23/32

25 Widcon in reality World s 1 st AP using Widcon & TSV Performance Power Higher is Better 14% 33% Lower is Better 60% 43% Widcon1 LPDDR3 Widcon2 LPDDR4 Widcon1 LPDDR3 Widcon2 LPDDR4 *Source : Samsung Electronics Co., Ltd., JEDEC, Q 24/32

26 64-bit CPU core for Smart Devices 2-step approach: AP with ARM s 64-bit core AP with Samsung s own 64-bit core Samsung 25/32

27 14nm FinFET Technology Delay FinFET technology leadership Lower Vdd and delay than a planar process Structure Advantage Source Gate Drain FinFET Less Delay 20nm Planar Lower Vdd Vdd 26/32

28 New Business 1 ModAP (Cellular Modem + AP) 2 IoT 3 Foundry /32

29 1. ModAP (Modem + AP) Dual tracks for modem collaboration High-end : 2-chip strategy with Tier-1 modem suppliers Mid/low-end : ModAP using system company s modem Existing Products Additional Products AP Modem AP ModAP Modem Memory Memory Memory 28/32

30 ModAP System LSI s 1 st ModAP was shipped in 3Q 13 Exynos 4 Quad + System Company s Modem 29/32

31 2. IoT (Internet of Things) Smart building, Smart community,... Total connectivity solutions Air conditioning and Temperature control Energy Generation Energy Storage Lighting Control Control of Elevators and Escalators Total Solutions Disaster Prevention Security Control Monitoring Cameras 30/32

32 3. Foundry 2.0 Technical leadership 14FF and beyond Foundry Follower Technology Leadership 2 Tier 1 only Tier 1 + Tier 2/3 3 Silicon Silicon + IP Provider 31/32

33 Foundry nm FinFET Leadership SRD Schedule Performance, power and area *SRD: Semiconductor Research & Development ISDA Early EUV Adoption 32/32

34 Concluding Remarks Samsung S.LSI provides total solution for connected world Mobile AP (Application Processor) Image Sensor ModAP IoT Samsung S.LSI offers attractive foundry solution Leading-edge technology: 14FF Capacity 33/32

35

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Market Perspective & Strategy Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Heading Disclaimer The materials in this report include forward-looking statements which can generally

More information

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1 R&D TECHNOLOGY DRIVING INNOVATION Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1 R&D & CORE COMPONENTS _ SAMSUNG is the world s largest memory chip and display maker, as well as the second largest

More information

Samsung Electronics Announces Third Quarter 2017 Results

Samsung Electronics Announces Third Quarter 2017 Results Samsung Electronics Announces Third Quarter 2017 Results Registers net profit of KRW 11.19 trillion on sales of KRW 62.05 trillion 3Q consolidated operating profit reaches KRW 14.53 trillion SEOUL, Korea

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Samsung Electronics Announces First Quarter Results

Samsung Electronics Announces First Quarter Results Samsung Electronics Announces First Quarter Results Registers net profit of KRW 7.68 trillion on sales of KRW 50.55 trillion 1Q consolidated operating profit reaches KRW 9.90 trillion SEOUL, Korea April

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Samsung Electronics Announces Fourth Quarter & FY 2015 Results

Samsung Electronics Announces Fourth Quarter & FY 2015 Results Samsung Electronics Announces Fourth Quarter & FY 2015 Results SEOUL, Korea January 28, 2016 Samsung Electronics announced financial results for the fourth quarter ended December 31, 2015. Samsung posted

More information

Silicon Image HD Connectivity That Just Works

Silicon Image HD Connectivity That Just Works Needham January 2014 Silicon Image HD Connectivity That Just Works Safe Harbor Except for historical information contained herein, this presentation contains forward-looking statements within the meaning

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Sustaining profitable growth in Mobile

Sustaining profitable growth in Mobile Sustaining profitable growth in Mobile Mario Rivas Executive Vice President Communications Businesses Philips Semiconductors Financial Analysts Day 2004 Agenda Update Communications businesses Nexperia

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET Sector Note April 18, 2014 Semiconductors Overweight Samsung Electronics bets on 14nm FinFET Company Rating TP (KRW) Samsung Electronics BUY 1,800,000 Samsung Electronics and Globalfoundries announce One

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge IDM ADVANTAGE DR. MURTHY RENDUCHINTALA President - Client, IoT Businesses & Systems Architecture Group Disclosures Intel Technology and Manufacturing Day 2017 occurs during Intel s

More information

Samsung Electronics Announces Fourth Quarter & FY 2017 Results

Samsung Electronics Announces Fourth Quarter & FY 2017 Results Samsung Electronics Announces Fourth Quarter & FY 2017 Results Registers 4Q net profit of KRW 12.26 trillion on sales of KRW 65.98 trillion 4Q consolidated operating profit reaches KRW 15.15 trillion SEOUL,

More information

Welcome to CES. Rick Bergman, President & CEO

Welcome to CES. Rick Bergman, President & CEO Welcome to CES Rick Bergman, President & CEO Disclosure This presentation contains forward-looking statements that are subject to the safe harbors created under the Securities Act of 1933, as amended,

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Spreadtrum Communications, Inc. Investor Presentation 4Q12

Spreadtrum Communications, Inc. Investor Presentation 4Q12 Spreadtrum Communications, Inc. Technology for the Mobile World b Investor Presentation 4Q12 China United States Korea India Taiwan www.spreadtrum.com 1 Safe Harbor Statement This presentation contains

More information

This is an era of UFS and UFS Card

This is an era of UFS and UFS Card This is an era of UFS and UFS Card Samsung Electronics JEDEC Mobile & IOT Forum Copyright 2017 Samsung Expansion of Smartphone Role Device proliferation will lead a new positive cycle of IT industry Smartphone

More information

Technology is a Journey

Technology is a Journey Technology is a Journey Not a Destination Renée James President, Intel Corp Other names and brands may be claimed as the property of others. Building Tomorrow s TECHNOLOGIES Late 80 s The Early Motherboard

More information

Global Smartphone 3D Sensing Market: Size, Trends & Forecasts ( ) September 2017

Global Smartphone 3D Sensing Market: Size, Trends & Forecasts ( ) September 2017 Global Smartphone 3D Sensing Market: Size, Trends & Forecasts (2017-2021) September 2017 Global Smartphone 3D Sensing Market Report Scope of the Report The report entitled Global Smartphone 3D Sensing

More information

ARM Mali -400 MP. The Scalable Multicore Graphics Processing Unit. Under embargo until June 2 nd, 2008

ARM Mali -400 MP. The Scalable Multicore Graphics Processing Unit. Under embargo until June 2 nd, 2008 ARM Mali -400 MP The Scalable Multicore Graphics Processing Unit 1 Agenda Market drivers: consumer and technology changes ARM technology and graphics expertise ARM launches pioneering Mali-400 MP GPU Mali-400

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd

Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd 1 Topics The Mobile Computing Platform The Application Processor CMOS Power Model Multicore Software: Complexity & Scaling Conclusion

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Thermal Considerations in Package Stacking and Advanced Module Technology

Thermal Considerations in Package Stacking and Advanced Module Technology Thermal Considerations in Package Stacking and Advanced Module Technology Ulrich Hansen, Director of Marketing, Staktek February 16, 2006 Continued drive to increase sub-system density, functionality and

More information

2008 Mobile World Congress. February 12, Barcelona

2008 Mobile World Congress. February 12, Barcelona 2008 Mobile World Congress February 12, 2008 - Barcelona 2008 Mobile World Congress Tommi Uhari Executive Vice President Mobile, Multimedia and Communications Group February 12, 2008 - Barcelona Agenda

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Samsung Electronics. 10 th KRX Global Investors Conference -1 -

Samsung Electronics. 10 th KRX Global Investors Conference -1 - Samsung Electronics 10 th KRX Global Investors Conference June 2007-1 - Corporate Overview -2 - Business Portfolio TV N-PC DVD/STB Printer Memory System LSI Storage Home Theater Monitor Digital Media 27%

More information

LPDDR4: Evolution for new Mobile World

LPDDR4: Evolution for new Mobile World LPDDR4: Evolution for new Mobile World 2013.08.06 JungYong(JY) Choi Senior Manager Samsung Semiconductor Inc. 1 / 22 Legal Disclaimer This presentation is intended to provide information concerning memory

More information

A backward glance and a forward view

A backward glance and a forward view Arm Limited is a subsidiary of A backward glance and a forward view Ian Thornton, Head of Investor Relations Tokyo 18 May 2018 Arm update A Backward Glance: Progress in 2017 Financials Investments / hiring

More information

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Takashi Yamada Chief Architect, System LSI Business Division Mobile Forum 2014 Copyright 2014 - Panasonic Agenda 4K (UHD) market and changes

More information

Signal Processing IP for a Smarter, Connected World. May 2017

Signal Processing IP for a Smarter, Connected World. May 2017 Signal Processing IP for a Smarter, Connected World May 2017 Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties, as well as assumptions

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

New York Analyst Day. November 13, 2008

New York Analyst Day. November 13, 2008 New York Analyst Day November 13, 2008 Safe Harbor Before we proceed with our presentation, we would like to point out that the following discussion will contain forward-looking statements from industry

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales Semiconductors : Accelerating Technologies for Intelligent Applications Zhiyong Han, General Manager, China Sales Technology Effects are Accelerating 2 Today s Explosion of Data is Amazing 70,017 Hours

More information

18 August Hong Kong. SSIL 2015 Interim Results Announcement 18 Aug 2015 P. 1

18 August Hong Kong. SSIL 2015 Interim Results Announcement 18 Aug 2015 P. 1 18 August 2015 18 Hong August Kong 2014 Hong Kong P. 1 Disclaimer This presentation does not constitute an offer or solicitation to anyone in any jurisdiction in which such offer or solicitation is not

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

Barclay s 2009 Worldwide Wireless and Wireline Conference

Barclay s 2009 Worldwide Wireless and Wireline Conference Barclay s 2009 Worldwide Wireless and Wireline Conference MAY 28, 2009 Steve Mollenkopf Executive Vice President, & President, Qualcomm CDMA Technologies Safe Harbor Before we proceed with our presentation,

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Investor Relations Presentation

Investor Relations Presentation A T H E R O S C O M M U N I C A T I O N S, I N C. Investor Relations Presentation Q1 2008 WIRELESS FUTURE. UNLEASHED NOW. ATHEROS COMMUNICATIONS Safe Harbor Statement Some of the information in this presentation

More information

ARM Holdings plc Preliminary Results for Q and FY February 10, 2016

ARM Holdings plc Preliminary Results for Q and FY February 10, 2016 ARM Holdings plc Preliminary Results for Q4 2015 and FY 2015 February 10, 2016 Welcome Stuart Chambers Chairman Cautionary statement concerning forward-looking statements This presentation contains forward-looking

More information

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

Investor Presentation. January 28, 2009

Investor Presentation. January 28, 2009 Investor Presentation January 28, 2009 Forward Looking Statements and Non-GAAP Financial Measures This presentation contains forward-looking statements that are based on our current opinions and estimates.

More information

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group Mobile, Multimedia & Communications Tommi Uhari Executive Vice President MMC Group 2007 Accomplishments Leading positions* # 1 in Analog/mixed signal # 1 in 3G RF # 3 in Wireless Focus on high-growth segments

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Samsung Semiconductor, Inc Power Green Lunch

Samsung Semiconductor, Inc Power Green Lunch Samsung Semiconductor, Inc Power Green Lunch Samsung Experience June 17, 2009 Technology at the speed of imagination Greening Up ~ Powering Down Leading Tomorrow s Green Magic LCD Window Vidification Is

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

New Oxygen Smartphones: great performances for little money

New Oxygen Smartphones: great performances for little money New Oxygen Smartphones: great performances for little money Paris Monday, February 18 th, 2019 ARCHOS, the French pioneer of consumer electronics, today confirms its commitment to price-conscious users

More information

Windows tablets. Market forecast. Key trends Pricing guide. 12 export-ready suppliers. 12 trendy products

Windows tablets. Market forecast. Key trends Pricing guide. 12 export-ready suppliers. 12 trendy products Windows tablets Market forecast Key trends Pricing guide 12 export-ready suppliers 12 trendy products www.globalsources.com/mobileelectronics Buyer s Guide to sourcing Windows tablets An exclusive and

More information

Smartphone Evolution and Revolution

Smartphone Evolution and Revolution Smartphone Evolution and Revolution 31 March 2014 By: Eylon Gersten eylon@marvell.com Is your Smartphone really smart? Short Survey what OS type of Smartphone the audience have? OS # % Android 7 54 ios

More information

Global Smartphone Compact Camera Module (CCM) Market: Size, Trends & Forecasts ( ) October 2017

Global Smartphone Compact Camera Module (CCM) Market: Size, Trends & Forecasts ( ) October 2017 Global Smartphone Compact Camera Module (CCM) Market: Size, Trends & Forecasts (2017-2021) October 2017 Global Smartphone CCM Market Report Scope of the Report The report entitled Global Smartphone Compact

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

TECHNOLOGY UPDATE STOCKHOLM, NOVEMBER

TECHNOLOGY UPDATE STOCKHOLM, NOVEMBER TECHNOLOGY UPDATE STOCKHOLM, NOVEMBER 1 2017 Disclaimer FORWARD-LOOKING STATEMENTS The presentation contains forward-looking statements with words such as believes, anticipates, outlook, confident, meeting

More information

TCL COMMUNICATION TECHNOLOGY HOLDINGS LIMITED (2618.HK)

TCL COMMUNICATION TECHNOLOGY HOLDINGS LIMITED (2618.HK) TCL COMMUNICATION TECHNOLOGY HOLDINGS LIMITED (2618.HK) Interim Results Presentation Hong Kong 12 August 2 AGENDA FINANCIAL HIGHLIGHTS BUSINESS REVIEW & OUTLOOK Q & A FINANCIAL HIGHLIGHTS 4 FINANCIAL HIGHLIGHTS

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market

When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market When Less Is More: Bigger & Faster Memory in Shrinking Packages for the Mobile Market Kathy Choe Thomas, Flash Product Mktg Samsung Semiconductor, Inc. Living in the Connected World Like it or Not, We

More information

Snapdragon S4 System on Chip

Snapdragon S4 System on Chip Snapdragon S4 System on Chip Analyst Webinar 10/19/2011 2011 QUALCOMM Incorporated. All rights reserved. 1 2011 QUALCOMM Incorporated. All rights reserved. 2 New Snapdragon Brand and Roadmap Features Overview

More information

Global 3D Depth Sensor Market: Industry Analysis & Outlook ( )

Global 3D Depth Sensor Market: Industry Analysis & Outlook ( ) Industry Research by Koncept Analytics Global 3D Depth Sensor Market: Industry Analysis & Outlook ----------------------------------------- November 2017 1 Executive Summary 3D depth sensors, also known

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2)

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2) Integrated mobile to challenge standalone application, says Petrov Group (part 2) Contributed by the Petrov Group -- Wednesday 16 March 2011] http://www.digitimes.com/print/a20110315vl203.html Recently

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Embracing the Next Wave

Embracing the Next Wave Embracing the Next Wave JEDEC Mobile & IOT Forum Copyright 2016 [Samsung/Min Jeung Cho ] Stagnation or Leap Forward? Smartphone Shipment YoY Growth Rate 2B 60% 1B 30% B '11 '12 '13 '14 '15 '16 '17 '18

More information

dtac second quarter July 2016 Investor Relations E: T:

dtac second quarter July 2016 Investor Relations E: T: dtac second quarter 2016 12 July 2016 Investor Relations E: ir@dtac.co.th T: +662 202 8882 Q216 highlights 25.0m total subscribers 92.3% of sub base registered under DTN THB8.7b data revenues 54.2% of

More information

CMOS Image Sensors in Automotive Industry ADAS & AUTONOMOUS Are Taking Off. Dec. 2018

CMOS Image Sensors in Automotive Industry ADAS & AUTONOMOUS Are Taking Off. Dec. 2018 1 CMOS Image Sensors in Automotive Industry ADAS & AUTONOMOUS Are Taking Off Dec. 2018 Safe Harbor Notice 2 KINGPAK s statements of its current expectations are forward-looking statements subject to significant

More information

Innovation Leadership in Expanding Markets

Innovation Leadership in Expanding Markets Innovation Leadership in Expanding Markets Gary Dickerson CEO and President SEPTEMBER 27, 2017 External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements,

More information

The Benefits of GPU Compute on ARM Mali GPUs

The Benefits of GPU Compute on ARM Mali GPUs The Benefits of GPU Compute on ARM Mali GPUs Tim Hartley 1 SEMICON Europa 2014 ARM Introduction World leading semiconductor IP Founded in 1990 1060 processor licenses sold to more than 350 companies >

More information

28 th Annual Roth Conference. March 14, 2016

28 th Annual Roth Conference. March 14, 2016 28 th Annual Roth Conference March 14, 2016 FORWARD LOOKING STATEMENTS This presentation includes forward-looking statements within the meaning of the United States Private Securities Litigation Reform

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMICON Korea Press Conference January 2018 Dan Tracy, Sr. Director SEMI Industry Research & Statistics Agenda 2017 Wrap Up 2018 Semiconductor

More information

Join the forward thinkers who rely on Toshiba for wireless connectivity ICs.

Join the forward thinkers who rely on Toshiba for wireless connectivity ICs. ELECTRONIC COMPONENTS Wireless Communication Solutions Join the forward thinkers who rely on Toshiba for wireless connectivity ICs. Bluetooth Low Power Near Field Communications High Speed Wireless Power

More information

From Boolean Algebra to Smart Glass

From Boolean Algebra to Smart Glass From Boolean Algebra to Smart Glass George Tai 2014/03 Boolean Algebra Why mathematics is the base for today s computer technology? In mathematics and mathematical logic, Boolean algebra is the subarea

More information

2010: TRANSITION & TRANSFORMATION

2010: TRANSITION & TRANSFORMATION TOWARDS TRANSFORMATION Gilles Delfassy, President & CEO 2009: FORMATION 2010: TRANSITION & TRANSFORMATION 2 FIRST QUARTER SUMMARY Net sales $606 million Net sales Adjusted operating loss $114 million Net

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information

Nanya Technology DRAM Environment & Company Update

Nanya Technology DRAM Environment & Company Update Nanya Technology DRAM Environment & Company Update Presentation to Investors and Analysts 21 June 2016 Joseph Wu, AVP & Deputy Spokesman June 21, 2016 2016 Nanya Technology Corp. Safe Harbor The information

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Brian Krzanich Chief Executive Officer Intel Corporation 4.9B 2X CONNECTED CONNECTED

More information

The New Diamond Smartphone embodies all trends expected in 2019 below 300

The New Diamond Smartphone embodies all trends expected in 2019 below 300 The New Diamond Smartphone embodies all trends expected in 2019 below 300 Paris Monday, February 18 th, 2019 ARCHOS, the French pioneer of consumer electronics, today makes it easy for consumers to own

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information