DDR & DDR2 SDRAM Controller Compiler

Size: px
Start display at page:

Download "DDR & DDR2 SDRAM Controller Compiler"

Transcription

1 DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version Errata Sheet Introduction This document addresses known errata and documentation changes for version of the DDR & DDR2 SDRAM Errata are design functional defects or errors. Errata may cause the DDR & DDR2 SDRAM Controller Compiler to deviate from published specifications. Documentation changes include typos, errors, unclear descriptions or omissions from current published specifications or product documents. These documentation changes or clarifications will be incorporated into an upcoming release of the DDR & DDR2 SDRAM DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues Altera has identified the following issues that affect the DDR & DDR2 SDRAM Controller Compiler v3.2.0: 1. DDR SDRAM Controller Write Data Slip Errors on page 2 2. Clock Pin Names Must End In [0] on page 3 3. Creating Custom Variations In Directories Other Than The Quartus II Project Directory on page 3 4. DDR or DDR2 SDRAM Controller Version Cyclone II Devices in a Quartus II v5.1 Project on page 4 5. SOPC Builder System Generation Fails on page Post-Compilation Timing Analysis Is Not Supported on Stratix II Advanced Devices on page Incorrect Postamble Register Placements (Cyclone II Devices Only) on page Non-DQS, Non-Migratable Floorplans Contain Only Sidebank Pins on page Error Message When Recompiling a Project on page Remove Redundant Logic Cells Option (Stratix Devices Only) on page 8. Altera Corporation 1 ES

2 DDR & DDR2 SDRAM Controller Compiler 11. SOPC Builder Supported Memory Data Bus Widths on page Errors After Creating Multiple Controllers in the Same Directory on page Precompile Timing Estimates With Four or More DQS Delay Matching Buffers (Stratix Devices Only) on page Illegal Byte Group Placements (Stratix & Stratix GX devices only) on page DQS I/O Pin Error (Cyclone Devices Only) on page Design Assistant Warning Messages on page 13. DDR SDRAM Controller Write Data Slip Errors Under some circumstances, the DDR SDRAM Controller may issue a write command to the memory before the write data is available to be written. This issue causes a write data slip the same data is written to two consequetive locations and all subsequent writes receive the data intended for the previous location. This issue affects only DDR SDRAM Controllers configured to use the native interface and a memory burst length of 4 or 8. DDR2 SDRAM designs and designs that use the Avalon interface or a memory burst length of 2 are unaffected. This issue leads to the controller writing incorrect data to the memory. To prevent this error from occuring, turn on Insert extra pipeline registers on address and command outputs on the IP Toolbench Controller Settings tab. This issue will be fixed in a future release of the DDR and DDR2 SDRAM controller. 2 Altera Corporation

3 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues Clock Pin Names Must End In [0] In IP Toolbench, if you edit the pin names of the clocks driving the memory and remove the [0] from the end of the clock name, the postcompile timing verification script fails with the following error message: Error: Timing node '<clock pin name>_out' (pin name = '<clock pin name>') cannot be found The clock pin names must have a [0] on the end of their names, even if you select to have more than one clock pair from the FPGA to the memory. However, the fed-back clock name does not require a [0] on the end of the name. This issue affects any variation where you have edited the pin names of the clocks and not added a [0] to the end of the names. The post-compile timing verification script cannot analyze your design. Replace the [0] on the clock pin names. This issue will be fixed in a future release of the DDR and DDR2 SDRAM controller. Creating Custom Variations In Directories Other Than The Quartus II Project Directory If you create your controller variation in a directory other than the Quartus II project directory, the automatic add constraints and verify timing scripts do not run properly. You receive the following error message when trying to compile the project: Error: Tcl Script File auto_add_ddr_constraints.tcl not found If you move the project after you have successfully compiled it, you will receive the following error message: Error: Tcl Script File auto_verify_ddr_timing.tcl not found Altera Corporation 3

4 DDR & DDR2 SDRAM Controller Compiler This issue affects all designs where the variation is not created in the Quartus II project directory. You cannot successfully add constraints to or verify the timing of your design. Copy the automatic add constraints (auto_add_ddr_constraints.tcl) and automatic verify timing script (auto_verify_ddr_timing.tcl) into the Quartus II project directory. Edit the scripts and add the correct path to the location of the constraints and timing scripts for each variation listed. This issue will be fixed in a future release. DDR or DDR2 SDRAM Controller Version Cyclone II Devices in a Quartus II v5.1 Project If you attempt to use the verify timing script of a DDR or DDR2 SDRAM Controller v3.2.0 with a Cyclone II device in a Quartus II v5.1 project, you will encounter the following error. ASSERTION FAILED( [get_timing_node_info -info type $dqsnode] == "clk" ) Tracking back from dq pin to dqs pin didn't get to a node of type clk. This issue affects all Cyclone II designs created with the DDR or DDR2 SDRAM controller v3.2.0, which are compiled in the Quartus II software v5.1. The verify timing script fails to report any timing margins. You should upgrade to DDR & DDR2 SDRAM Controller v3.3.0, if you are using the Quartus II software v Altera Corporation

5 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues This issue will never be fixed. SOPC Builder System Generation Fails In the SOPC Builder flow, if you use a dedicated resynchronization clock for the DDR2 SDRAM controller, the SOPC Builder generation fails with the following error message: Error: Test Generator Program for module 'ddr_sdram' did NOT run successfully. This issue affects the DDR2 SDRAM controller when you use the SOPC builder flow and use a dedicated resynchronization clock. SOPC Builder generation fails. There are two workarounds. You can run the system in a single clock domain. Or locate and change the following line in the generate_ddr_sim_model.pl file in the \lib\sopc_builder\ddr2_sdram_component\ directory: my $number_of_lump_delays = ($rtl_sim_delay / ($clockperiod / 4) + 1); Change it to the following code: my $number_of_lump_delays = floor($rtl_sim_delay / ($clockperiod / 4) + 1); This issue will be fixed in the next version of the DDR and DDR2 SDRAM Post-Compilation Timing Analysis Is Not Supported on Stratix II Advanced Devices If you turn on Show Advanced Devices in the Quartus II New Project Wizard for Stratix II devices, it lists the following advanced devices: Altera Corporation 5

6 DDR & DDR2 SDRAM Controller Compiler EP2S90H484 EP2S90F780 EP2S130F780 The device package information for the Stratix II advanced devices is not available in the Quartus II software version 5.0. You can still create either a DDR or DDR2 SDRAM MegaCore function variation that is targeted at Stratix II advanced devices. However, when you compile your design, the verify timing script fails with the following error message: Error: Cannot find source node 'ddr_dqs[0]_in' Error: Extractions stopped due to error This issue affects all DDR and DDR2 SDRAM Controller MegaCore functions generated for Stratix II advanced devices. You cannot run post-compilation timing analysis for designs on Stratix II advanced devices in the Quartus II software version 5.0. Also you cannot set constraints for EP2S90H484 devices. Target your design to a device that is not on the Advanced Devices list. This issue will be fixed in a future release of the DDR and DDR2 SDRAM Controller Compiler, once the package information is available in a future version of the Quartus II software. Incorrect Postamble Register Placements (Cyclone II Devices Only) The automatic constraints on the postamble registers place them close to the DQS pins. On Cyclone II devices, they should be close to the clock control blocks. This issue produces negative setup slack on the read postamble enable path, which is reported by the verify timing script when you compile the design. This issue affects all configurations targeted at Cyclone II devices. 6 Altera Corporation

7 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues This issue may lead to functional errors when operating. Manually re-assign the critical registers (dq_enable[0] and dq_enable_reset[0]) for each byte group to be close to the clock control block that they feed. f For assistance with this change, contact Altera. Altera provides a script to automatically correct the constraints for the following three Altera Cyclone II development boards: Cyclone II EP2C35 DSP Development Board Cyclone II EP2C35 PCI Development Board Nios Development Board, Cyclone II Edition In the Quartus II software, choose Tcl Scripts (Tools menu) and run the Tcl script, fix_ep2c35f672_postamble_constraints.tcl, which is in the ddr_ddr2_sdram-v3.2.0\lib directory. This issue will be fixed in the next version of the DDR and DDR2 SDRAM Non-DQS, Non-Migratable Floorplans Contain Only Sidebank Pins If you choose not to use DQS to capture your read data and to use non-migratable DQ, DQS, and DM pins on Stratix II devices, the constraints plug-in does not display any available byte groups on the top and bottom edges of the device. This issue affects all designs targeted at Stratix II devices when you turn off Use DQS for read capture and turn on Use non-migratable DQ, DQS, and DM pins in IP Toolbench. You cannot target the top or bottom pins on the device. Altera Corporation 7

8 DDR & DDR2 SDRAM Controller Compiler Use DQS for read capture or use migratable pins. For more information on the recommended pins to use in this mode on these edges, contact Altera. Error Message When Recompiling a Project If you move the directory containing your Quartus II project, or rename your Quartus II project and recompile it without regenerating the DDR or DDR2 SDRAM Controller, you may receive the following error: Error: DDR timing cannot be verified until project has been successfully compiled. This error indicates that some of the settings files contain references to the previous location or project name and the verify timing script is unable to find the current project. This issue affects all configurations. The timing script does not verify your design. Regenerate your controller in IP Toolbench and recompile the project. The timing analysis script now completes correctly. This issue will be fixed in a future version of the DDR and DDR2 SDRAM Remove Redundant Logic Cells Option (Stratix Devices Only) Do not turn on Remove Redundant Logic Cells in the Quartus II software if you are targeting Stratix devices. 8 Altera Corporation

9 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues This issue affect all designs targeted at Stratix devices, if you turn on Remove Redundant Logic Cells in the Quartus II software. For Stratix devices, removing redundant logic cells makes the Quartus II software optimize away the important DQS delay matching buffers that the postamble circuitry uses. Ensure you turn off Remove Redundant Logic Cells in the Quartus II software if you are targeting Stratix devices. There are no plans to fix this issue. SOPC Builder Supported Memory Data Bus Widths SOPC Builder currently only supports data bus widths that are a power of 2. IP Toolbench does not impose these limitations in the SOPC Builder flow, and can therefore generate bus widths incompatible with SOPC builder, which results in the following error message during SOPC Builder system generation. ERROR: slave data width (48) for slave ddr_sdram/s1 unexpected This issue affects all configurations that specify data bus widths that are not a power of 2 when you use the SOPC Builder flow. You cannot generate the design in SOPC Builder. Ensure you restrict the data bus width parameter in the DDR SDRAM Controller IP Toolbench to a power of 2, for example, 8, 16, 32 or 64. Altera Corporation 9

10 DDR & DDR2 SDRAM Controller Compiler This issue will be fixed in the next version of the DDR and DDR2 SDRAM Errors After Creating Multiple Controllers in the Same Directory If you generate multiple controller variations in the same Quartus II project directory and your top-level design file does not instantiate all of them, the Quartus II precompilation processing step issues the following error: Error: Either Analysis & Elaboration failed or the script could not find your variation. You also see the following related error during the post compilation processing step: Error: Post compile timing analysis failed (retcode=1) These errors are caused by extra entries in the auto_add_ddr_constraints.tcl and auto_verify_ddr_timing.tcl script files. IP Toolbench adds an entry to these files each time it generates a variation, if you turn on Automatically run add constraints script or Automatically run verify timing script. However, it does not correctly remove the entry for the variation, if it is no longer instantiated in your top-level design file. 1 These errors also occur if you rename and regenerate a DDR or DDR2 SDRAM Controller component in SOPC Builder after you have generated the system at least once. This issue affects all configurations. There is no design impact. You must always edit the auto_add_ddr_constraints.tcl and auto_verify_ddr_timing.tcl script files to remove any of the following lines for variations that are no longer instantiated in your design: source add_constraints_for_<variation name>.tcl source verify_timing_for_<variation name>.tcl 10 Altera Corporation

11 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues Alternatively, turn off Automatically run verify timing script and Automatically run add constraints script and run the scripts manually. There are no plans to fix this issue. Precompile Timing Estimates With Four or More DQS Delay Matching Buffers (Stratix Devices Only) For Stratix devices, if you turn on Manual postamble control and choose 4 or more for the Number of DQS delay matching buffers, the precompile timing estimates in the system timing report for the read postamble enable property are incorrect. The correct timing analysis result is shown in the post-compile timing analysis report after compiling the design in the Quartus II software. This issue affects designs on Stratix devices that require four or more DQS delay matching buffers. This issue does not affect your design. Ignore the pre-compile timing estimates in the system timing report for the read postamble enable property. This issue will be fixed in the next version of the DDR and DDR2 SDRAM Illegal Byte Group Placements (Stratix & Stratix GX devices only) The IP Toolbench constraint editor allows you to place byte groups on both top and bottom of a Stratix or Stratix GX device at the same time, which causes an error in the Quartus II software. While you can split a DDR or DDR2 SDRAM interface across both the top and bottom of a Stratix device, some manual editing of the data path is required. Altera Corporation 11

12 DDR & DDR2 SDRAM Controller Compiler This issues affect designs on Stratix and stratix GX devices that split the interface across the top and bottom. The design does not compile. For more information, contact Altera. This issue will be fixed in a future version of the DDR and DDR2 SDRAM DQS I/O Pin Error (Cyclone Devices Only) Under some circumstances, the timing analysis may show that the design requires a dedicated resynchronization clock. The IP Toolbenchgenerated example top-level design does not automatically support a separate resynchronization clock on Cyclone devices, which causes the following error message: Error: DQS I/O pin <path name>cyclone_ddio_bidir:ddio_bidir[0] ioatom must have a combinational output to the device Error: Can't fit design in device This issue affects Cyclone designs for which IP Toolbench recommends a separate resynchronization clock. The design does not compile. Edit the example top-level design to instantiate a second PLL to provide a resynchronization clock with the IP Toolbench-recommended phase offset and connect this clock to the resynch_clk input of the controller. 12 Altera Corporation

13 DDR & DDR2 SDRAM Controller Compiler v3.2.0 Issues This issue will be fixed in a future version of the DDR and DDR2 SDRAM Design Assistant Warning Messages The Quartus II Design Assistant generates warning messages when the design does not follow a Design Assistant rule, and generates information messages to provide information regarding a rule. If you enable the Design Assistant for a design containing a DDR or DDR2 SDRAM Controller, during compilation you will see the following messages for each of the following device families, which you can safely disregard. Stratix Devices Medium Clock signal source should not drive registers that are triggered by different clock edges Node: dqs_clk[0](tri-state) External reset should be synchronized using two cascaded registers Node: reset_n Stratix II Devices Medium Clock signal source should not drive registers that are triggered by different clock edges Node: dqs_clk[0](tri-state) Cyclone II Devices Medium Clock signal source should drive only input clock ports Node: ddr_pll_cycloneii:g_cyclonepll_ddr_pll_inst altpll: altpll_component _clk0 Clock signal source should not drive registers that are triggered by different clock edges Node: ddr_pll_cycloneii:g_cyclonepll_ddr_pll_inst altpll:altpll_component _clk0 Altera Corporation 13

14 DDR & DDR2 SDRAM Controller Compiler Cyclone Devices Medium Clock signal source should drive only input clock ports Node: ddr_pll_cyclone:g_cyclonepll_ddr_pll_inst altpll: altpll_component _clk0 This issue affects all configurations. There is no design impact. No workaround is necessary. This issue may be fixed in the next version of the Quartus II software and the DDR and DDR2 SDRAM SOPC Builder System Generation Fails In the SOPC Builder flow, if you use a dedicated resynchronization clock for the DDR2 SDRAM controller, the SOPC Builder generation fails with the following error message: Error: Test Generator Program for module 'ddr_sdram' did NOT run successfully. This issue affects the DDR2 SDRAM controller when you use the SOPC builder flow and use a dedicated resynchronization clock. SOPC Builder generation fails. 14 Altera Corporation

15 Contact Information There are two workarounds. You can run the system in a single clock domain. Or locate and change the following line in the generate_ddr_sim_model.pl file in the \lib\sopc_builder\ddr2_sdram_component\ directory: my $number_of_lump_delays = ($rtl_sim_delay / ($clockperiod / 4) + 1); Change it to the following code: my $number_of_lump_delays = floor($rtl_sim_delay / ($clockperiod / 4) + 1); This issue will be fixed in the next version of the DDR and DDR2 SDRAM Contact Information Revision History For more information, contact Altera s mysupport website at and click Create New Service Request. Choose the Product Related Request form. Table 1 shows the revision history. Table 1. Revision History Version Date Details of Change 1.1 May 2005 Added issues DDR SDRAM Controller Write Data Slip Errors on page 2 Clock Pin Names Must End In [0] on page 3 Creating Custom Variations In Directories Other Than The Quartus II Project Directory on page 3 DDR or DDR2 SDRAM Controller Version Cyclone II Devices in a Quartus II v5.1 Project on page 4 SOPC Builder System Generation Fails on page May 2005 First release of the DDR & DDR2 SDRAM Controller Compiler errata sheet for version Altera Corporation 15

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 9.1 Document Date: November 2009 Copyright 2009 Altera Corporation. All rights

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.0. Errata are functional defects

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

4K Format Conversion Reference Design

4K Format Conversion Reference Design 4K Format Conversion Reference Design AN-646 Application Note This application note describes a 4K format conversion reference design. 4K resolution is the next major enhancement in video because of the

More information

RapidIO MegaCore Function

RapidIO MegaCore Function RapidIO MegaCore Function October 2007, MegaCore Function Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 7.0. Errata

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues January 2005, Compiler Version 2.3.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.3.0 of the SONET/SDH Compiler. Errata are design functional defects

More information

RLDRAM II Controller MegaCore Function User Guide

RLDRAM II Controller MegaCore Function User Guide RLDRAM II Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.0 Document Date: May 2008 Copyright 2008 Altera Corporation. All rights reserved.

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Customizable Flash Programmer User Guide

Customizable Flash Programmer User Guide Customizable Flash Programmer User Guide Subscribe Latest document on the web: PDF HTML Contents Contents 1. Customizable Flash Programmer Overview... 3 1.1. Device Family Support...3 1.2. Software Support...

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

RLDRAM II Controller MegaCore Function User Guide

RLDRAM II Controller MegaCore Function User Guide RLDRAM II Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 1.0.0 Document Version: 1.0.0 rev. 1 Document Date: October 2005

More information

NIOS II Instantiating the Off-chip Trace Logic

NIOS II Instantiating the Off-chip Trace Logic NIOS II Instantiating the Off-chip Trace Logic TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Documents... ICD In-Circuit Debugger... Processor Architecture Manuals... NIOS... NIOS II Application

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Altera ASMI Parallel II IP Core User Guide

Altera ASMI Parallel II IP Core User Guide Altera ASMI Parallel II IP Core User Guide UG-20068 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1... 3 1.1 Ports...4 1.2 Parameters... 5

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

ASMI Parallel II Intel FPGA IP Core User Guide

ASMI Parallel II Intel FPGA IP Core User Guide ASMI Parallel II Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.... 3 1.1. Ports...4 1.2.

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

Microtronix Streaming Multi-Port SDRAM Memory Controller

Microtronix Streaming Multi-Port SDRAM Memory Controller Microtronix Streaming Multi-Port SDRAM Memory Controller User Manual V4.2 126-4056 Meadowbrook Drive, London, Ontario N6L 1E3 CANADA www.microtronix.com Document Revision History This user guide provides

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

DDR and DDR2 SDRAM High-Performance Controller User Guide

DDR and DDR2 SDRAM High-Performance Controller User Guide DDR and DDR2 SDRAM High-Performance Controller User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Version: 8.0 Document Version: 8.0 Document

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 7.2 Document Version: 3.3 Document Date: November 2007 Copyright 2007

More information

POS-PHY Level 2 and 3 Compiler User Guide

POS-PHY Level 2 and 3 Compiler User Guide POS-PHY Level 2 and 3 Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved.

More information

Quartus II Software Device Support Release Notes

Quartus II Software Device Support Release Notes Quartus II Software Device Support Release Notes May 2009 RN-01045-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus II software. For information

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

Reed-Solomon Compiler User Guide

Reed-Solomon Compiler User Guide Reed-Solomon Compiler Reed-Solomon Compiler 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-RSCOMPILER-12.0 Feedback Subscribe 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 9.0 Document Date: 15 June 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The

More information

RapidIO Physical Layer MegaCore Function

RapidIO Physical Layer MegaCore Function RapidIO Physical Layer MegaCore Function April 2005, MegaCore version 2.2.1 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.2.1 of the RapidIO Physical

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

DDR3 SDRAM High-Performance Controller User Guide

DDR3 SDRAM High-Performance Controller User Guide DDR3 SDRAM High-Performance Controller User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number MegaCore Version: 8.0 Document Date: May 2008 Copyright 2008 Altera Corporation.

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER USER MANUAL V3.11 126-4056 Meadowbrook Drive London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic information

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 7.1 Document Date: May 2007 Copyright 2007 Altera Corporation. All rights reserved.

More information

Section IV. In-System Design Debugging

Section IV. In-System Design Debugging Section IV. In-System Design Debugging Introduction Debugging today s FPGA designs can be a daunting task. As your product requirements continue to increase in complexity, the time you spend on design

More information

PCI Compiler. System Requirements. These release notes for the PCI Compiler version contain the following information:

PCI Compiler. System Requirements. These release notes for the PCI Compiler version contain the following information: October 2005, Compiler Version 4.1.0 Release Notes These release notes for the PCI Compiler version 4.1.0 contain the following information: System Requirements New Features & Enhancements Errata Fixed

More information

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction Introduction to the Altera SOPC Builder Using Verilog Designs 1 Introduction This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the

More information

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) DDR SDRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.2.0 Document Version: 1.2.0 rev 1 Document Date: March 2003

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

POS-PHY Level 4 IP Core User Guide

POS-PHY Level 4 IP Core User Guide JulyPOS-PHY Level 4 IP Core User Guide POS-PHY Level 4 IP Core User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-IPPOSPHY4-2014.07.07 Document last updated for Altera Complete Design

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Intel FPGA GPIO IP Core User Guide

Intel FPGA GPIO IP Core User Guide Intel FPGA GPIO IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Intel FPGA GPIO IP Core Features...

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

Quartus II Software Version 10.0 Device Support Release Notes

Quartus II Software Version 10.0 Device Support Release Notes Quartus II Software Version 10.0 Device Support Release Notes July 2010 RN-01055 This document provides late-breaking information about device support in the 10.0 version of the Altera Quartus II software.

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 9.1 Document Date: 15 November 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera,

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide 2017.06.19 Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide UG-DDRMGAFCTN Subscribe The ALTDDIO IP cores configure the DDR I/O registers in APEX II, Arria II, Arria

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera,

More information

DSP Builder User Guide

DSP Builder User Guide DSP Builder User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 7.2 SP1 Document Date: December 2007 Copyright 2007 Altera Corporation. All rights reserved. Altera, The

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide UG-189 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and Stratix V

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 10.0 Document Date: 15 July 2010 Copyright 2010 Altera Corporation. All rights reserved. Altera, The

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

Intel MAX 10 User Flash Memory User Guide

Intel MAX 10 User Flash Memory User Guide Intel MAX 10 User Flash Memory User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 User Flash Memory

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems NIOS-II SoPC: PART-II 1 Introduction This lab has been constructed to introduce the development of dedicated

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide 2015.01.23 Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide UG-DDRMGAFCTN Subscribe The Altera DDR I/O megafunction IP cores configure the DDR I/O registers in APEX

More information