Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Similar documents
Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.6

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.7

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

ELEC 204 Digital System Design LABORATORY MANUAL

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Xilinx ISE Synthesis Tutorial

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

Laboratory #Intro to Xilinx ISE and CR-2 Kit ECE 332

Circuit design with configurable devices (FPGA)

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module

Xilinx Project Navigator Reference Guide

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

ECE 4305 Computer Architecture Lab #1

Verilog Design Entry, Synthesis, and Behavioral Simulation

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

CCE 3202 Advanced Digital System Design

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Building Combinatorial Circuit Using Behavioral Modeling Lab

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

Xilinx ChipScope ICON/VIO/ILA Tutorial

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

FPGA Design Tutorial

Timing Analysis in Xilinx ISE

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Getting Started with Xilinx WebPack 13.1

Using Synplify Pro, ISE and ModelSim

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Xilinx Tutorial Basic Walk-through

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

COS/ELE 375 Verilog & Design Tools Tutorial

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

ELEC 4200 Lab#0 Tutorial

Using the ChipScope Pro for Testing HDL Designs on FPGAs

PlanAhead Release Notes

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

ISE Design Suite Software Manuals and Help

Xilinx Schematic Entry Tutorial

Quick Front-to-Back Overview Tutorial

Digital Circuit Design Using Xilinx ISE Tools

Tutorial: Working with the Xilinx tools 14.4

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Introduction to WebPACK 8.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

MANUAL XILINX ISE PROJECT NAVIGATOR

Introduction. In this exercise you will:

CPLD Experiment 4. XOR and XNOR Gates with Applications

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions

OVERVIEW OF FPGA AND EDA SOFTWARE

Introduction to Xilinx Vivado tools

Lab 3 Finite State Machines Movie Ticket Dispensing Machine

MicroBlaze Tutorial on EDK 10.1 using Sparatan III E Behavioural Simulation of MicroBlaze System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Installation Guide for Digital Hardware Design Entry and Verification Tools (Prepared by: Yogesh Varna, Hardware Design Trainer)

Vivado Walkthrough ECGR Fall 2015

SDRAM Interface Clocking for the NanoBoard 2

XILINX ISE AND SPARTAN 3AN TUTORIAL

Introduction to WebPACK 6.3. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

Steps to run compxlib to compile Xilinx libraries in Modelsim SE 10.1 for EE101/EE201L/EE560 students as well as USC ITS

Introduction to WebPACK 4.1 for CPLDs. Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

Commas and Data Alignment Lab

!"#$%&'()*+%&,-%&.*/.&0"&#%(1.*"0* 2+345*!%(,',%6.7*87'()*9/:37* :."&).*A%7"(*8('B.&7'6=* 8C2C3C*

Introduction to WebPACK 4.1 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

FPGA Design Flow. - from HDL to physical implementation - Victor Andrei. Kirchhoff-Institut für Physik (KIP) Ruprecht-Karls-Universität Heidelberg

Programmable Logic Design I

Introduction. About this tutorial. How to use this tutorial

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

FPGA Design Flow 1. All About FPGA

Lab 6 : Introduction to Verilog

Vivado Design Suite Tutorial. Design Flows Overview

Transcription:

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim ver. 1.5 1

Prepared by Marcin Rogawski, Ekawat (Ice) Homsirikamol, Kishore Kumar Surapathi and Dr. Kris Gaj The example codes used in this tutorial can be obtained from http://ece.gmu.edu/coursewebpages/ece/ece448/s09/experiments/448_lab3.htm. The current version of the tutorial was tested using the following tools: Toolset Xilinx ISE Webpack Version : 12.1 Synthesis Tool ISE&Webpack Synthesis&Implementation Version : 12.1 Implementation Tool Xilinx ISE/WebPack Version : 12.1 Simulation Tool ModelSim SE Version : 6.3c 2

Table of Contents 1. Project Settings 4 2. Behavioral Simulation 13 3. Pin Assignment 16 4 Synthesis and Implementation 18 4.1 Synthesis with Xilinx XST 18 4.2 Translate 23 4.3 Post-Translate Simulation 23 4.4 Map 24 4.5 Place and Route 25 4.6 Post Place and Route Simulation 26 4.7 Implementation Reports 27 4.8 Bit Stream Generation 31 5. Uploading Bitstream to FPGA Board 32 3

1. Project Settings To Start Xilinx ISE in the ECE Labs go to: Start->All Programs-> VLSI Tools->Xilinx ISE 12.1->ISE Design Tools ->Project Navigator At home, adjust the path accordingly based on your installation. 4

To start new Project go to: menu File->New Project 5

Specify Project Name and location for project files 6

Click Next and specify Family : Xilinx11x Spartan3 Device : 3s50 Package : pq208 Speed Grade : -4 Simulator : ModelSim SE VHDL or ModelSim SE mixed 7

8

Click Finish and then Add Source. Choose files for the project. 9

10

11

Then click Ok. Your project has been defined. 12

2. Behavioral simulation In the Design Menu we choose Behavioral Simulation. The testbench for this design was set as a top level. In the Processes menu choose ModelSim Simulator, Simulate Behavioral Model, right-click and choose Run. 13

You will see a picture similar to the one above. 14

Finally, you should be able to observe waveforms for your design. 15

3. Pin Assignment Go to menu Sources for and change this option to Synthesis/Implementation. Click on Assign Package Pins. The User Constraint File (UCF) will be created if you decide to assign design port names to the physical pins. Columns I/O Names and I/O Descriptions represent ports from your design. The Loc column should be used to input the location of the corresponding pin in the FPGA device. 16

17

We can specify Pin Assignments by going to User Constraint menu. We can assign design port names to the physical pins of a chosen device by option Edit Constraints (Text). Keyword NET is for a port name assignment and keyword LOC is for a physical pin assignment. 18

4. Synthesis and Implementation 4.1 Synthesis with Xilinx XST Go to the menu Sources for and change this option to Synthesis/Implementation. Click Check Syntax to check if vhdl sources are properly coded. 19

When you right-click on Generate Post-Synthesis Simulation Model then pop-up menu appears. ChooseRun to start synthesis (There is no option to simulate post-synthesis netlist for Xilinx ISE WebPack). But you can see the post synthesis vhdl file in folder <project name>- <netgen> <synthesis> 20

When Synthesis process is completed, the report from synthesis becomes available. Some of the most important features of the design are the minimum clock period and the maximum clock frequency. We can find these two parameters in the report file from Synthesis. Please remember that the values of these parameters after synthesis are different than the values of the same parameters after implementation. The other important information we can find in this report is the amount of FPGA resources your design requires. 21

Synthesis and generation of Post-Synthesis Simulation Model were completed successfully and we can start Implementation part. 22

Implementation : 23

4.2 Translate The first step in the Xilinx Design Flow for implementation is Translate. Under Implement Design option, choose Translate, and then Run. If you are successful with this part you should generate Post Translate Simulation Model. 4.3 Post-Translate Simulation When you right-click on Generate Post-Translate Simulation Model then pop-up menu appears. Choose Run to start. In the menu Sources for we choose Post-Translate Simulation. The testbench for this design was set as a top level. In the menu Processes for choose ModelSim Simulator, right-click and choose Run. ModelSim SE will be launched and waveforms for our design should appear. We can save script for further re-simulation by saving.do type files. 24

There is in the bottom part ModelSim terminal. We can type there commands such like do name_of_script.do and our waveform will be updated. It is possible to use terminal in every step of implementation. 4.4 Map Go to the menu Sources for and change this option to Synthesis/Implementation. From the Implement Design menu choose Map. Do right-click and pop-up menu should appear. Choose Run to start mapping process. Post-Map Simulation When you right-click on Generate Post-Map Simulation Model then pop-up menu appears. Choose Run to start. In the menu Sources for we choose Post-Map Simulation. The testbench for this design was set as a top level. In the menu Processes for choose ModelSim Simulator, right-click and choose Run. 25

4.5 Place and Route Go to menu Sources for and change this option to Synthesis/Implementation. From the Implement Design menu choose Place and Route. Do right-click and pop-up menu should appear. Choose Run to start place and route process. When we right-click on Generate Post Place and Route Simulation Model then pop-up menu appears and you should choose Run. 26

4.6 Post Place and Route Simulation In the menu Sources for we choose Post-Route Simulation. The testbench for this design was set as a top level. In the menu Processes for choose ModelSim Simulator, right-click and choose Run. ModelSim SE will be launched and waveforms for our design should appear. 27

4.7 Implementation Reports Review the Implementation Reports shown in the following pages. 28

29

30

. 31

4.8 Bit Stream Generation Go to menu Sources for and change this option to Synthesis/Implementation. Choose Generate Programming File, do right-click and pop-up menu should appear. Choose Run to start bit generation process. 32

4. Uploading Bitstream to FPGA Board Before uploading Bit file, make sure that you change your constant values in all your files to proper values, and re-synthesize/re-implement all the files. In particular, in our example, please change the value of the constant slow_clock_period in the Lab3Demo_package.vhd. Select the Adept program as shown in the picture above. When the program is opened, a device will be shown if it is connected and recognized. Select the bit file by clicking Browse and finding the appropriate file. Click Program to program the file device. 33

Good luck! Have fun debugging =) 34