OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

Similar documents
An introduction to CoCentric

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Intro to High Level Design with SystemC

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Early Models in Silicon with SystemC synthesis

Abstraction Layers for Hardware Design

ESL design with the Agility Compiler for SystemC

System Level Design with IBM PowerPC Models

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEL 5722C Field-Programmable Gate Array Design

SystemC Modelling of the Embedded Networks

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Overview of Digital Design with Verilog HDL 1

Hardware Description Languages. Introduction to VHDL

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

Choosing an Intellectual Property Core

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

System Level Design Flow

Hardware Design and Simulation for Verification

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models

Introduction to SystemC

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

Transaction-Level Modeling Definitions and Approximations. 2. Definitions of Transaction-Level Modeling

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture

SystemC Users Forum - Japan. February 1, 2001

System Level Design For Low Power. Yard. Doç. Dr. Berna Örs Yalçın

RTL Coding General Concepts

System Level Design Technologies and System Level Design Languages

100M Gate Designs in FPGAs

Design and Verification of FPGA Applications

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Checking for TLM-2.0 Compliance - Why Bother? Dr. Andrea Kroll VP Marketing and Business Development JEDA Technologies, Inc.

Navigating the RTL to System Continuum

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

The TLM-2.0 Standard. John Aynsley, Doulos

Embedded System Design and Modeling EE382V, Fall 2008

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

SoC Design for the New Millennium Daniel D. Gajski

A New Electronic System Level Methodology for Complex Chip Designs

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

Overview of Digital Design Methodologies

Evolution of CAD Tools & Verilog HDL Definition

High-Level Information Interface

Key technologies for many core architectures

ASIC, Customer-Owned Tooling, and Processor Design

Integrated Development Environment

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

FPGAs: High Assurance through Model Based Design

A design methodology for TTA protocol processors

Design Methodologies. Kai Huang

Chapter 5: ASICs Vs. PLDs

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems

System-on-Chip Design for Wireless Communications

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Design of Transport Triggered Architecture Processor for Discrete Cosine Transform

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0

ARM System-Level Modeling. Platform constructed from welltested

Functional Verification of the SiCortex Multiprocessor System-on-a-Chip. Oleg Petlin, Wilson Snyder

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Network simulation with. Davide Quaglia

Post processing techniques to accelerate assertion development Ajay Sharma

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Generating TLM Bus Models from Formal Protocol Specification. Tom Michiels CoWare

Park Sung Chul. AE MentorGraphics Korea

Virtual PLATFORMS for complex IP within system context

Making the Most of your MATLAB Models to Improve Verification

Open Verification Methodology (OVM)

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc.

Operating in a Mixed-language Environment Using HDL, C/C++, SystemC and SystemVerilog

4 th European SystemC Users Group Meeting

Mixed Signal Verification Transistor to SoC

Part 2: Principles for a System-Level Design Methodology

SCope: Efficient HdS simulation for MpSoC with NoC

ASYNC Rik van de Wiel COO Handshake Solutions

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

An approach to accelerate UVM based verification environment

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Towards a SystemC Transaction Level Modeling Standard. Stuart Swan Senior Architect Cadence Design Systems, Inc. June 2004

PyMTL: A Python-Based Framework for Hardware Modeling

Growth outside Cell Phone Applications

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

QEMU and SystemC. Màrius Màrius Montón

AMS Behavioral Modeling

Seamless Refinement from Transaction Level to RTL Using SystemVerilog Interfaces

EDA - Electronic Design Automation or Electronic Design Assistance?

GreenBus Wolfgang Klingauf 14th ESCUG Meeting FDL 06 Darmstadt

QEMU for Xilinx ZynqMP. V Aug-20

Transcription:

OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical activities & provide direction + strategic guidance to the working groups Keep technical & business objectives in-sync & appropriately prioritized 2

Concept to RTL flowing into RTL to GDSII

mid 70 s to mid 90 s Design abstraction moves up New levels of IP emerge EDA tools move up RTL level Verilog, VHDL to enable path to silicon Gate Level Polygon Level 4

mid 90 s EDA confronted with: Specs Word/Excel System = software running on silicon! Gap between system design & RTL RTL level Verilog, VHDL Gate Level Polygon Level 5

2002 & beyond EDA moves up, Again! SystemC bridges abstract modeling & RTL IP moves up, Again! RTL level SystemC Verilog, VHDL UML untimed Level SystemC Transaction Level SystemC Gate Level EDA tools ensures path to silicon, Again! Polygon Level 6

Value of untimed SystemC Design & debug system functionality Prior to committing to an architecture Prior to deciding what is SW or HW untimed Level SystemC Fast enough to develop application software Ensures that the right system is built 7

Value of transaction level SystemC Functional + transaction cycle accurate Design & explore system architectures Prior to implementing intuition in RTL Fast & accurate enough to develop hardware dependent software Transaction Level SystemC Enables true IP re-use at the system level Ensures that the right system is built right 8

Value of RTL level SystemC The familiar pin cycle accurate RTL level Remains valuable for detailed component implementation Not the focus of SystemC RTL level SystemC Verilog, VHDL Gate Level Polygon Level 9

Significance of TLM

Design productivity = ƒ(abstraction level) Productivity per designer (fully documented & debugged) 30 polygons per day 30 transistors per day 30 gates per day 30 lines of RTL per day while communication abstraction only moved from voltage/current to 0/1 What 30 things do we now want to do in 1 day? 11

The next 30 things per day! Put together a platform with 30 IP blocks Processors, Buses, Peripherals, Memories, Sprinkle some software on the processors Algorithm, expected software behavior, Build, run, analyze result today to make new architectural design decisions tomorrow Go from single layer bus to multi layer, Add or replace processors, Change memory configurations, peripherals, Until platform is tuned for expected SW 12

The goal? Platform serves as a HW model for SW development Only if 100x faster than the RTL that HW people offer now AND Is starting point for HW implementation & verification Only if it has 100% cycle accurate communication Only if it has path to Block & Communication synthesis 13

What does this require? IP at a higher level of behavior AND communication abstraction Transactions are next higher level than 0 & 1; hence TLM Simpler to understand and code Faster to execute (100x faster than RTL) Yet 100% cycle accurate to make architectural decisions IP that in interchangeable Requires a standard TLM API that can exploit all bus functionality IP with a path to implementation Needs a modeling style standard Must enable communication synthesis Must enable block synthesis (or replacement with proven RTL) 14

Significance of a TLM standard Bridges the worlds of software and hardware SW Bridge 1 = LANGUAGE SystemC brings HW and SW in the same language base Bridge 2 = SPEED TLM communication abstraction 100x faster HW 15

Impact on OSCI direction

The Stephen Covey matrix Urgent Less Urgent Important TLM API Standard Synthesizable Subset Verification Class Lib Less Important 17

Urgent things we are doing Language Working Group Funding LRM for SystemC 2.1 core language Submit for IEEE standardization in 2003 Ensure consistency of core language Not powerful enough is bad but Too complex to use is equally bad Verification Working Group Building SystemC 2.1 compliant verification class library 18

Urgent things we are not doing yet Standardize TLM API Different industry groups are defining first flavors Without changing the core language Coding style guide & subset for block synthesis Creation of Synthesis Working Group approved 19

3 take-aways The value of SystemC is in higher abstractions The transaction level bridges SW and HW SystemC core language ready for IEEE Working Groups evolving compliant libraries 20