Design Rules and Min Timing

Similar documents
DC-Tcl Procedures. Learning Objectives. After completing this lab, you should be able to: Write generic DC-Tcl procedures. Lab Duration: 30 minutes

Compile RISC_CORE. Learning Objectives. After completing this lab, you should be able to: Perform a top-down compile strategy on the RISC_CORE design

EE4415 Integrated Digital Design Project Report. Name: Phang Swee King Matric Number: U066584J

Multiple Clocks and Timing Exceptions

Specifying Timing Exceptions

Getting a Quick Start 2

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Preparing for Optimization 7

Performing STA. Learning Objectives

Introduction to STA using PT

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Behavioral Modeling and Timing Constraints

SmartTime for Libero SoC v11.5

Partitioning for Better Synthesis Results

Logic Synthesis ( Prof. Dejan Marković VLSI Design Flow. Specifications & System Simulation (MATLAB, Simulink, C++)

Introduction to Design Compiler

Lecture 11 Logic Synthesis, Part 2

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity)

Introduction to Design With Verilog. Synopsys University Courseware 2008 Synopsys, Inc. Lecture - 3 Developed By: Paul D. Franzon

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Push-button Synthesis or, Using dc_perl to do_the_right_thing

Kyoung Hwan Lim and Taewhan Kim Seoul National University

Using Tcl. Learning Objectives

Timing Analyzer Quick-Start Tutorial

My Second FPGA for Altera DE2-115 Board

A Comparison of Hierarchical Compile Strategies

Automated Synthesis from HDL models. Design Compiler (Synopsys) Leonardo (Mentor Graphics)

Agenda: Day Two. Unit 6: Specifying Timing Exceptions DAY 2. I/O Paths and Exceptions. Constraining I/O Interface Paths

Agenda: Day One 3-1 DAY. Welcome. Introduction to Static Timing Analysis. Writing Basic Tcl Constructs in PT. Constraining Internal Reg-Reg paths

EECS 151/251A ASIC Lab 6: Power and Timing Verification

RTL Synthesis using Design Compiler. Dr Basel Halak

Laboratory 5. - Using Design Compiler for Synthesis. By Mulong Li, 2013

Tutorial for Verilog Synthesis Lab (Part 2)

Asic Design ET Alexander de Graaf, EEMCS/ME/CAS 5/20/14. Challenge the future. Delft University of Technology

Vivado Design Suite Tutorial. Using Constraints

SDC and TimeQuest API Reference Manual

My First FPGA for Altera DE2-115 Board

Sorting and Searching

UG0776 User Guide PolarFire FPGA Design Constraints

SigmaRAM Echo Clocks

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Sorting and Searching

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science

My First Nios II for Altera DE2-115 Board

CME341 Assignment 4. module if\_else\_combinational\_logic( input [3:0] a, b, output reg [3:0] y ); * begin

A GENERATION AHEAD SEMINAR SERIES

Designing RGMII Interface with FPGA and HardCopy Devices

Part B. Dengxue Yan Washington University in St. Louis

Freescale Semiconductor, I

Reading the Design into PT

Timing Constraints Editor User Guide

Setup file.synopsys_dc.setup

SPI Xpress. Data sheet

3.3V ZERO DELAY CLOCK BUFFER

QL8X12B pasic 1 Family Very-High-Speed CMOS FPGA

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers

Practical 4: RTC on FPGA

Arbiters: Design Ideas and Coding Styles

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

24C08/24C16. Two-Wire Serial EEPROM. Preliminary datasheet 8K (1024 X 8)/16K (2048 X 8) General Description. Pin Configuration

LAB 2: INTRODUCTION TO LOGIC GATE AND ITS BEHAVIOUR

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

Frequency Generator for Pentium Based Systems


1.8V to 3.3V LVCMOS High Performance Clock Buffer Family

Cluster-based approach eases clock tree synthesis

Intel Quartus Prime Standard Edition User Guide

The Boa Methodology. Abstract. What is Boa? by Wilson Snyder, Digital Semiconductor, January 17, 1997

EECS 151/251A ASIC Lab 3: Logic Synthesis

PI6C182B. Precision 1-10 Clock Buffer. Features. Description. Diagram. Pin Configuration

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

ORCA Series 3 Programmable Clock Manager (PCM)

CoreRGMII v2.0. Handbook

ALTERA FPGAs Architecture & Design

PrimeTime: Introduction to Static Timing Analysis Workshop

Informatics for industrial applications

11. Analyzing Timing of Memory IP

ProASIC PLUS Timing Closure in Libero IDE v5.2

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation

2-Wire, 5-Bit DAC with Three Digital Outputs

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

QL ,000 Usable PLD Gate pasic 3 FPGA Combining High Performance and High Density

Altera/Synopsys User Guide

HOW TO SYNTHESIZE VERILOG CODE USING RTL COMPILER

Analyzing Timing of Memory IP

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

1 Beta extension of the 1394a PHY Link Interface Specification

CS 61C Summer 2012 Discussion 11 State, Timing, and CPU (Solutions)

3.3V CMOS 1-TO-5 CLOCK DRIVER

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide

HAND HELD PROGRAMMER QUICK START GUIDE

Last Name Student Number. Last Name Student Number

Transcription:

7 Design Rules and Min Timing Learning Objectives After completing this lab, you should be able to: Apply design rules and hold time constraints Fix design rule violations Fix hold time violations Lab Duration: 20 minutes Design Rules and Min Timing Lab 7-1 Synopsys 31833-000-S38

Design Specifications The table below is a summary of constraints from Lab 5 and Lab 6. The design rule and hold time constraints shown in the second table are additional constraints that will be applied during this lab. Clock Frequency Clock Skew Input Ports (worst case input delay) Output Ports (worst case output delay) Area Goal 250 Mhz (4 ns) 50% duty cycle 0.25 ns T clk-q = 1ns All output ports are registered Follow lab instruction Voltage and Temperature Variation 1.8V +/- 0.18V, 0 C to 125 C Default Register Driving input ports (except for Clk port) Wire Load Model Wire Load Mode Max Capacitance Allowed on an input ports: (except for Clk port) Number of blocks each output port must be able to drive: Assumed load on output ports cell "fdef1a1", pin Q Note: fdef1a1 contains two of the letter one character, and no L characters 5KGATES top 5 and2a1 cells, pin A 3 5 x 3 and2a1 cells, pin A Max Transition driving input ports: (except for Clk port) Input Ports (best case input delay) Output Ports (best case output delay) 0.25 ns T clk-q = 0.2 ns FF hold requirement = 0.5 ns External logic delay T n = 0.2 ns Lab 7-2 Design Rules and Min Timing

To Get You Started Use the drawing below to determine the input and output delays for hold time calculations. Input Delay Output Delay A T clk-q B C T N T hold Clk PRGRM_CNT_TOP Question 1. From the specifications above, complete the commands below by filling in the blanks: set ALL_INS_EX_CLK [remove_from_collection \ [all_inputs] [get_ports Clk]] set_max_transition set_input_delay min -clock my_clk $ALL_INS_EX_CLK set_output_delay min -clock my_clk [all_outputs] Question 2. If you do not constrain the ports accurately, what is likely to happen?... Answers are at the back of this lab. Design Rules and Min Timing Lab 7-3

Flow Diagram of Lab Complete scripts/lab7.tcl for: Max Transition Minimum input delay Minimum output delay Read mapped/ PC.db Apply the constraints scripts/lab7.tcl Save PRGRM_CNT_TOP.db Generate reports Fix design rule violations Generate constraints report Fix timing violations Quit Lab 7-4 Design Rules and Min Timing

Task 1. Complete lab7.tcl Script file 1. Complete the existing scripts/lab7.tcl file with a text editor. Task 2. Read Mapped PC.db 1. Start dc_shell-t and read the previously mapped design PC.db. 2. Apply scripts/lab7.tcl to the PRGRM_CNT_TOP design. Remember that PC.db was previously mapped and saved with applied constraints. Sourcing lab7.tcl will just add more constraints to the PRGRM_CNT_TOP design. 3. Save PRGRM_CNT_TOP.db for use in the next lab. write hier output mapped/prgrm_cnt_top.db Task 3. Generate Reports 1. Generate a constraints report for all violations using the: report_constraint command. PRGRM_CNT_TOP now violates both the hold time and the design rule max_transition, because of the design rule and hold time constraints you just added. 2. Generate a detailed hold time report using the report_timing command. report_timing delay min Notice how clock skew (0.25 ns) and output constraint (0.30 ns) contribute to data required time of 0.55 ns. In order to meet this hold time requirement, the shortest delay on output ports cannot be faster than 0.55 ns. A detailed discussion on timing reports will be covered during lecture. Design Rules and Min Timing Lab 7-5

Task 4. Fix Design Rule Violations DC can fix all kinds of violations in one run. Fixing design rules can sometimes fix hold time violations. Begin by fixing design rules first. 1. Execute the following command to fix just the design rules. compile scan inc only_design_rule All design rule violations should be fixed by the end of this compile. Fixing design rules, however, may cause other constraints to fail. This is expected since other constraints have lower priority by default. Task 5. Generate Reports 1. Generate a constraints report for all violations. The report should not have any design rule violations. Question 3. PRGRM_CNT_TOP now violates setup timing. Can you explain why?... Question 4. What is the next logical step?... Task 6. Fix Timing Violations 1. Execute compile in incremental mode to fix setup violations. compile scan inc map high Verify that PRGRM_CNT_TOP now has only hold time violations. 2. Enable DC to fix hold time; use the following commands: set_fix_hold [all_clocks] compile scan inc only_design_rule Verify that PRGRM_CNT_TOP has no violated constraints. 3. Quit Design Compiler. (Do not overwrite mapped/pc.db file.) Lab 7-6 Design Rules and Min Timing

Answers / Solutions Question 1. From the specifications above, complete the commands below by filling in the blanks: set ALL_INS_EX_CLK [remove_from_collection \ [all_inputs] [get_ports Clk]] set_max_transition 0.25 $ALL_INS_EX_CLK set_input_delay min 0.2 -clock my_clk $ALL_INS_EX_CLK set_output_delay min 0.3 -clock my_clk [all_outputs] Question 2. If you do not constrain the ports accurately, what is likely to happen? If the port environment is not modeled accurately, timing or electrical rule violations may occur when the block you are compiling is inserted, or stitched into the higher-level design. Question 3. PRGRM_CNT_TOP now violates setup timing. Can you explain why? When using the only_design_rule option, Design Compiler fixes design rule violations at the expense of setup timing violations. Question 4. What is the next logical step? Perform a regular incremental compile that will fix setup as well as preserve the design rule fixes performed earlier. Design Rules and Min Timing Lab 7-7