Configuring Xilinx FPGAs with SPI Serial Flash Author: Stephanie Tapp

Similar documents
Indirect Programming of BPI PROMs with Virtex-5 FPGAs Author: Stephanie Tapp

MultiBoot with Virtex-5 FPGAs and Platform Flash XL Authors: Jameel Hussein and Rish Patel

Interfacing LVPECL 3.3V Drivers with Xilinx 2.5V Differential Receivers Author: Mark Wood

Platform Flash In-System Programmable Configuration PROMs

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family

Platform Flash In-System Programmable Configuration PROMs

Reference Design: LogiCORE OPB USB 2.0 Device Author: Geraldine Andrews, Vidhumouli Hunsigida

Dual Processor Reference Design Suite Author: Vasanth Asokan

and Storage Device Features Description 0 Platform Flash XL High-Density Configuration

Platform Flash In-System Programmable Configuration PROMs

High-Performance DDR3 SDRAM Interface in Virtex-5 Devices Author: Adrian Cosoroaba

Platform Flash PROM User Guide. UG161 (v1.4) October 17, 2008

Virtex FPGA Series Configuration and Readback

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher

Features. Description. 4 2 Platform Flash In-System Programmable Configuration PROMS. DS123 (v2.6) March 14, Preliminary Product Specification

Updating a Platform Flash PROM Design Revision In-System Using SVF Contact: Randal Kuramoto

Configuration and Readback of the Spartan-II and Spartan-IIE Families

Spartan-II Demo Board User s Guide

Platform Flash In-System Programmable Configuration PROMs

Configuration Details

Configuration Modes and Daisy-Chains

Digilab 2E Reference Manual

Platform Cable USB II Features Platform Cable USB II Description DS593 (v1.2.1) March 17, 2011

Digilab 2 Reference Manual

Digilab 2 XL Reference Manual

Virtex-5 FPGA Configuration User Guide

QPRO Family of XC1700E Configuration PROMs

QPro XQ17V16 Military 16Mbit QML Configuration PROM

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Virtex-4 FPGA Configuration User Guide. UG071 (v1.10) April 8, 2008

XuLA Manual. How to install, test and use your new FPGA board

Virtex-4 LX/SX Prototype Platform

4. Configuring Cyclone II Devices

Point-to-Point Connectivity Using Integrated Endpoint Block for PCI Express Designs Authors: Sunita Jain and Guru Prasanna

QPro XQ17V16 Military 16 Mb QML Configuration PROM

J Drive: In-System Programming of IEEE Standard 1532 Devices Author: Arthur Khu

SP605 MultiBoot Design

GODIL USER MANUAL V 0.9 OHO-Elektronik

Virtex-4 FPGA Configuration User Guide. UG071 (v1.12) June 2, 2017

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

Configuring the Xilinx Spartan-6 LX9 MicroBoard

Connecting Spansion SPI Serial Flash to Configure Altera FPGAs

Section 3. System Integration

SPI x4 Configuration Demo on SP601 Board. Boris Qin

Section II. Software Settings

ISP Engineering Kit Model 300

SPI Configuration and Flash Programming in UltraScale FPGAs

Opal Kelly. XEM3005 User s Manual. A compact (64mm x 42mm) integration board featuring the Xilinx Spartan-3E FPGA and on-board SDRAM.

Bus Master DMA Reference Design for the Xilinx Endpoint Block Plus Core for PCI Express Author: Jake Wiltgen

9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

13. Configuring Stratix & Stratix GX Devices

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

SP601 MultiBoot Design

Building Crosspoint Switches with CoolRunner-II CPLDs

Dual Boot and Background Programming with Platform Manager 2

ice65 mobilefpga Configuration & Programming Overview 05/12/2010

Win-I2CUSB Hardware User s Manual

Intel Cyclone 10 LP Device Family Pin Connection Guidelines

Spartan-6 FPGA Configuration

USB-to-I2C. Ultra Hardware User s Manual.

4I39 RS-422 ANYTHING I/O MANUAL

Opal Kelly. XEM6002 User s Manual

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

USB-to-I2C. Professional Hardware User s Manual.

XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs. Features. Description

ML605 PCIe x8 Gen1 Design Creation

Spartan-3AN FPGA In-System Flash User Guide

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Parameterizable 8b/10b Encoder Author: Paula Vo

SP605 MultiBoot Design

HDMI to FMC Module User Guide

EMUL-PPC-PC. Getting Started Guide. Version 1.0

TAP Expander Blackhawk Emulator Expansion Pod. Document Part Number: REV B

SPI Serial Flash Programming Using ispjtag on LatticeECP/EC FPGAs

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

SBAT90USB162 Atmel. SBAT90USB162 Development Board User s Manual

Spartan/XL Family One-Time Programmable Configuration PROMs (XC17S00/XL)

Part 1 Using Serial EEPROMs

USER GUIDE. Atmel OLED1 Xplained Pro. Preface

3.3V regulator. JA H-bridge. Doc: page 1 of 7

HAND HELD PROGRAMMER QUICK START GUIDE

Virtex-4 Configuration Guide. UG071 (v1.1) September 10, 2004

Vivado Design Suite Tutorial. I/O and Clock Planning

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices

Hollybush1 User Manual. Issue 1.00

APPLICATION NOTE. The Low-Cost, Efficient Serial Configuration of Spartan FPGAs. Introduction. Spartan Serial Configuration

EPCQA Serial Configuration Device Datasheet

KC705 PCIe Design Creation with Vivado August 2012

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit

9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices

Configuring Cyclone FPGAs

Pmod modules are powered by the host via the interface s power and ground pins.

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh

Serial ADC Interface Using a CoolRunner CPLD

Vivado Design Suite Tutorial. I/O and Clock Planning

Reference System: MCH OPB EMC with OPB Central DMA Author: Sundararajan Ananthakrishnan

SP605 Standalone Applications

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs

P-ROC. Pinball Remote Operations Controller. Version 2.4 August 8, Copyright 2017, Multimorphic, Inc. 1/28

Transcription:

XAPP951 (v1.1.1) November 20, 2007 Application Note: Spartan-3E and Virtex-5 FPGAs Configuring Xilinx FPGAs with SPI Serial Flash Author: Stephanie Tapp Summary This application note discusses the Serial Peripheral Interface (SPI) configuration mode introduced in the Virtex -5 and Spartan -3E FPGA families. The required connections to configure the FPGA from a SPI serial flash device are discussed and the configuration flow for the SPI mode is shown. Special precautions for configuring from a SPI serial flash are given, and the ISE impact 8.2i in-system programming solution with the Xilinx cables for prototype designs is also described. Introduction SPI Basics Xilinx FPGAs are CMOS configurable latch (CCL) based and must be configured at power-up. Traditionally, Xilinx FPGA configuration is accomplished via the IEEE 1149.1 (JTAG) interface, a microprocessor, or the Xilinx POMs (Platform Flash POMs). In addition to the these traditional methods, a direct configuration interface to SPI serial flash is now available. The Virtex-5 and Spartan-3E FPGA s direct configuration interface for SPI serial flash memories broadens the available configuration solutions for Xilinx designers and is the focus of this application note. SPI serial flash memories are popular because they can be easily accessed post configuration, offering random-access, non-volatile data storage to the FPGA. Systems with SPI serial flash memory already onboard can also benefit from having the option to configure the FPGA from the same memory device. The SPI protocol does have a few variations among vendors. Variations among some vendors are highlighted along with the connections required between the FPGA and SPI serial flash memory for configuration. The Xilinx ISE software tools for SPI-formatted POM file creation and programming during prototyping for select vendors are shown. SPI serial flash memories are not supplied by Xilinx and must be purchased from third-party vendors such as STMicroelectronics. SPI serial flash memories use the Serial Peripheral Interface (SPI), a four-wire, synchronous serial data bus. This serial data link was pioneered as a serial communication interface between a microcontroller and its peripherals and is a popular interface in embedded and consumer markets. This interface can now also be used to configure Xilinx FPGAs. An SPI system typically consists of a master device and a slave device (Figure 1). When using this four-signal interface to configure a Xilinx FPGA from a SPI serial flash, the FPGA is the master device and the SPI serial flash is the slave device. X-ef Target - Figure 1 Xilinx FPGA Master Device SCK MOSI MISO SS SPI Serial Flash Slave Device Figure 1: X951_01_1115006 Basic Block Diagram for SPI Configuration Mode 2006 2007 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. PowerPC is a trademark of IBM Corp. and is used under license. All other trademarks are the property of their respective owners. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 1

SPI Basics The master FPGA device controls the timing via the SCK clock signal. Data is clocked out of the FPGA master and into the SPI serial flash slave on the MOSI signal after the select signal SS goes Low. During the same clock cycle, data is clocked out of the SPI serial flash slave and into the FPGA master using the MISO signal. Data is clocked out of each device on one edge and clocked into each device on the next opposite edge in the period. In addition to the four-signal interface, each SPI serial flash vendor has unique control signals, such as write protect or hold, that need to be controlled appropriately during programming and configuration (refer to the appropriate vendor s SPI serial flash memory data sheet for additional details on the specific control signals). A cross reference for the FPGA to SPI interface connections is provided in Table 1. Table 1: SPI Serial Flash Interface Connections and Pin Naming SPI Signals SPI Serial Flash Pins (1) FPGA Connection (Spartan-3E/Virtex-5) Signal Description General SPI Signals MOSI D MOSI Master Out Slave In is used by the master to specify the instruction to execute or to send data to the slave device. MISO Q DIN/D_IN Master In Slave Out is used by the master to collect data transferred from the slave device. SS S CSO_B/FCS_B (2) Slave Select, active-low signal; when driven High this signal is used to deselect the slave device and put MISO at high impedance. SCK C CCLK Serial Clock provides the timing for the serial interface. Additional Vendor-Specific SPI Control Signals Write Protect W Not required for FPGA configuration, but must be High to program or erase SPI serial flash. Optional connection to FPGA user I/O. Write Protect protects select areas of memory against program or erase instructions. Hold HOLD Not required for FPGA configuration, but must be High during FPGA configuration and SPI erase or program. Optional connection to FPGA user I/O. Hold is used to pause any serial communications with the device without deselecting the device. Notes: 1. General SPI serial flash pin names are listed in this table with the most common vendor pin names. The subset of SPI control signals used by each vendor can vary. efer to the vendor data sheet for specific pin information and descriptions. 2. The CSO_B signal is used on Spartan-3E FPGAs and the FCS_B signal is used on the Virtex-5 FPGAs to interface to the SPI serial flash for configuration. On Virtex-5 FPGAs, the CSO_B signal does not control the chip select on the SPI POM but is instead used for advanced daisy-chains. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 2

Configuring FPGAs from SPI Serial Flash Configuring FPGAs from SPI Serial Flash Spartan-3E and Virtex-5 FPGAs can be configured from a single SPI serial flash memory. The typical configuration density requirements for these FPGAs are provided in Table 2. Table 2: Typical Configuration Bit equirements FPGA Spartan-3E Family Configuration Bits (Per Device) Smallest SPI Serial Flash equired XC3S100E 581,344 1 Mb XC3S250E 1,353,728 2 Mb XC3S500E 2,270,208 4 Mb XC3S1200E 3,837,184 4 Mb XC3S1600E 5,969,696 8 Mb Virtex-5 Family XC5VLX30 8,374,016 8 Mb XC5VLX50 12,556,672 16 Mb XC5VLX85 21,845,632 32 Mb XC5VLX110 29,124,608 32 Mb XC5VLX220 53,139,456 64 Mb XC5VLX330 79,704,832 128 Mb XC5VLX30T 9,371,136 16 Mb XC5VLX50T 14,052,352 16 Mb XC5VLX85T 23,341,312 32 Mb XC5VLX110T 31,118,848 32 Mb XC5VLX330T 82,696,192 128 Mb Notes: 1. A larger SPI serial flash device can be used for daisy-chained applications, storing multiple FPGA configuration bitstreams, or for applications storing additional user data, such as code for the embedded MicroBlaze or PowerPC processors. Daisy-chaining multiple Spartan-3E FPGAs via a single flash is only supported on Stepping 1 and later. A detailed SPI configuration setup is shown in Figure 2, page 4, where the Virtex-5 FPGA is the master and the STMicroelectronics SPI serial flash is the slave. The configuration connections from the SPI serial flash to the FPGA are highlighted in this diagram. For information on the programming and configuration headers used by the Xilinx cables, refer to the Hardware and Connections for SPI Programming, page 10. A detailed SPI configuration setup is shown in Figure 3, page 5, where the Spartan-3E FPGA is the master and the STMicroelectronics SPI serial flash is the slave. The configuration connections from the SPI serial flash to the FPGA are highlighted in the diagram. For information on the programming and configuration headers used by the Xilinx cables refer to the Hardware and Connections for SPI Programming. In addition to the SPI serial flash interface signals discussed in the SPI Basics, page 1, there are additional FPGA configuration signals that can influence the successful start and stop of data transfer. These FPGA signals and their descriptions are listed in Table 3, page 6 and are shown in Figure 2 and Figure 3. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 3

Configuring FPGAs from SPI Serial Flash X-ef Target - Figure 2 ibbon Cable Header for FPGA JTAG Configuration ibbon Cable Header for SPI Direct Programming (6) NC NC TDI TDO TCK TMS V EF (+3.3V) MOSI MISO SCK SS NC NC V EF (+3.3V) +2.5V +3.3V +1.0V +3.3V +3.3V Mode Selection (SPI) SPI Variant Select (ead 0X03) 0 0 1 1 0 '1' TMS TCK TDO TDI M2 M1 M0 FS2 FS1 FS0 VCCAUX (1) HSWAPEN (4) VCCO_2 Virtex-5 FPGA VCC_CONFIG (2) VCCINT MOSI D_IN FCS_B CCLK (7) INIT_B DONE POG_B (3) 4.7 kω 4.7 kω +3.3V 4.7 kω +3.3V 300Ω +3.3V 4.7 kω +3.3V VCC D Q S C W (5) HOLD (5) GND STMicroelectronics M25Pxx SPI Flash GND Jumper Notes: 1. VCCO_2 supplies the SPI configuration dual-mode pins: MOSI, FCS_B, and FS[2:0] 2. VCC_CONFIG (Vcco_0) is the configuration output supply voltage and supplies the dedicated configuration pins: TMS, TCK, TDO, TDI, M[2:0], HSWAPEN, POG_B, DONE, INIT_B, CCLK, D_IN. 3. POG_B should be held Low during the direct programming of the SPI serial flash. POG_B can be driven Low to High with external logic to reconfigure the FPGA. 4. HSWAPEN can be driven Low to enable pull-ups on I/O. efer to Table 3, page 6 and [ef 2] for details and options on this pin. 5. Control signals should be driven appropriately when programming the SPI serial flash. Signals such as the W and HOLD signals should be held High or inactive while programming the SPI serial flash. efer to the vendor's data sheet for more details. 6. efer to Table5, page10 for cable signal cross reference. 7. Caution! Care should be taken with the CCLK board layout. The Virtex-5 FPGA drives the internally generated CCLK signal to the FPGA CCLK output pin. The FPGA's internal configuration logic is clocked by the CCLK signal at the FPGA pin, therefore, any noise on the CCLK pin can affect the FPGA configuration. Guidelines and details for CCLK design see the "Board Layout for Configuration Clock (CCLK)" section in [ef 3]. Figure 2: X951_02_100307 Virtex-5 FPGA Configuration from STMicroelectronics SPI Serial Flash Connection Diagram (Example for the ead Command 0x03) XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 4

Configuring FPGAs from SPI Serial Flash X-ef Target - Figure 3 ibbon Cable Header for FPGA JTAG Configuration ibbon Cable Header for SPI Direct Programming (7) NC NC TDI TDO TCK TMS MOSI MISO SCK SS NC NC V EF (+2.5V) V EF (+3.3V) +2.5V +3.3V +1.2V +3.3V +3.3V Mode Selection (SPI) SPI Variant Select (ead 0X03) 0 0 1 1 0 '1' TMS TCK TDO TDI M2 (6) M1 M0 VS2 VS1 VS0 VCCAUX (1) HSWAP (4) VCCO_2 (2) Spartan-3E FPGA GND VCCO_0 (2) VCCINT MOSI DIN CSO_B CCLK (8) INIT_B DONE POG_B (3) 4.7 kω +3.3V 4.7 kω 4.7 kω +2.5V 300Ω +2.5V 4.7 kω Jumper +3.3V VCC D Q S C W (5) HOLD (5) GND STMicroelectronics M25Pxx SPI Flash Notes: 1. VCCAUX supplies the dedicated Spartan-3E FPGA configuration pins: TMS, TDI, TDO, POG_B, and DONE. 2. VCCO_2 supplies the voltage to the Spartan-3E FPGA configuration, dual-mode pins: M[2:0], VS[2:0], INIT_B, CCLK, CSO_B, DIN, MOSI; and VCCO_0 supplies the dual-mode pin: HSWAP. 3. POG_B should be held Low during the direct programming of the SPI serial flash. POG_B can be driven Low to High with external logic to reconfigure the FPGA. 4. HSWAP can be driven low to enable pull-ups on I/O. efer to Table 3, page 6. 5. Control signals should be driven appropriately when programming the SPI serial flash. Signals such as the W and HOLD signals should be held High or inactive while programming the SPI serial flash. efer to the vendor's data sheet for more details. 6. For dual configuration mode usage, it is recommended to have the option to hold the M2 signal High for JTAG configuration mode. 7. efer to Table5, page10 for cable signal cross reference. 8. Caution! Care should be taken with the CCLK board layout. The Spartan-3E FPGA drives the internally generated CCLK signal to the FPGA CCLK output pin. The FPGA's internal configuration logic is clocked by the CCLK signal at the FPGA pin, therefore, any noise on the CCLK pin can affect the FPGA configuration. Guidelines and details for CCLK design see the "Configuration Clock:CCLK" section in [ef 5]. Figure 3: X951_03_120406 Spartan-3E FPGA Configuration from STMicroelectronics SPI Serial Flash Connection Diagram (Example for the ead Command 0x03) XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 5

Configuring FPGAs from SPI Serial Flash Table 3: FPGA SPI Configuration Signal Names and Descriptions Spartan-3E/ Virtex-5 FPGA Pin Name FPGA Direction During Configuration Description POG_B Input Program FPGA. Active Low. When asserted Low, forces the FPGA to restart its configuration process by clearing configuration memory and by resetting the DONE and INIT_B pins. equires external 4.7 kω pull-up resistor to 2.5V. If driving externally, use an open-drain or opencollector driver. INIT_B Open-drain bidirectional I/O Initialization Indicator. Active Low. Goes Low at start of configuration during initialization memory clearing process. eleased at end of memory clearing, when mode and variant select pins are sampled. In daisy-chain applications, this signal requires an external 4.7 kω pull-up resistor to V CCO_2. M[2:0] Input Mode Select. Selects the FPGA configuration mode. VS[2:0]/ FS[2:0] Input Variant Select. Instructs the FPGA how to communicate with the attached SPI serial flash POM. CSO_B/ Output Chip Select Output. FCS_B (1) Active Low. During Configuration Must be High to allow configuration to start. Active during configuration. If SPI serial flash POM requires > 2 ms to awake after powering on, hold INIT_B Low until POM is ready. If a CC error is detected during configuration, FPGA drives INIT_B Low. SPI mode M2=0, M1=0, M0=1. Sampled when INIT_B goes High. Valid setting options are shown in Table 4. Must be at a valid setting when sampled as INIT_B goes High. Connects to the SPI serial flash POM s chip-select input. If HSWAP/HSWAPEN= 1, connect this signal to a 4.7 kω pull-up resistor to 3.3V. Spartan-3E Devices After Configuration Virtex-5 Devices Dedicated. Drive POG_B Low and release to reprogram FPGA. Hold POG_B to force FPGA I/O pins into High-Z, allowing direct programming access to SPI serial flash POM pins. User I/O. If unused in the application, drive INIT_B High. User I/O. User I/O. Drive CSO_B High after configuration to disable the SPI serial flash and reclaim the MOSI, DIN, and CCLK pins as user I/O. Optionally, reuse this pin and MOSI, DIN, and CCLK to continue communicating with SPI serial flash. Dedicated. Dedicated. User I/O. Drive FCS_B High after configuration to disable the SPI serial flash and reclaim the MOSI, D_IN, and CCLK pins as user I/O. Optionally, reuse this pin and MOSI, D_IN, and CCLK to continue communicating with SPI serial flash. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 6

Configuring FPGAs from SPI Serial Flash Table 3: FPGA SPI Configuration Signal Names and Descriptions (Cont d) Spartan-3E/ Virtex-5 FPGA Pin Name FPGA Direction During Configuration Description During Configuration Spartan-3E Devices After Configuration Virtex-5 Devices CCLK Output Configuration Clock. Generated by FPGA internal oscillator. Frequency controlled by Configate bitstream generator option. If CCLK PCB trace is long or has multiple connections, terminate this output to maintain signal integrity. Drives POM s clock input. User I/O. Dedicated. MOSI Output Serial Data Output. FPGA sends SPI serial flash read commands and starting address to the POM s serial data input. User I/O. User I/O. DIN/ D_IN Input Serial Data Input. FPGA receives serial data from POM s serial data output. User I/O. Dedicated. DONE Open-drain bidirectional I/O FPGA Configuration Done. Low during configuration. Goes High when FPGA successfully completes configuration. equires external 330Ω pull-up resistor to 2.5V. Low indicates that the FPGA is not yet configured. Pulled High via external pull-up. When High, indicates that the FPGA successfully configured. DOUT Output Serial Data Output. Actively drives. Not used in single-fpga configuration. In a daisy-chain configuration, this pin connects to DIN/D_IN input of the next FPGA in the chain. The downstream FPGA is now in Slave Serial mode. User I/O. Dedicated. HSWAP/ HSWAPEN Input User I/O Pull-Up Control. When Low during configuration, enables pull-up resistors in all I/O pins to respective I/O bank V CCO input: 0: Pull-ups during configuration 1: No pull-ups Drive at valid logic level throughout configuration. User I/O. Dedicated. Notes: 1. For SPI ISP Programming, CSO_B is used for Spartan-3E FPGAs and FCS_B is used on Virtex-5 FPGAs. On Virtex-5 FPGAs, the CSO_B signal is used for the advanced daisy-chaining feature and not for SPI ISP programming. efer to the FPGA data sheets or FPGA configuration user guides for additional information. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 7

Configuring FPGAs from SPI Serial Flash Figure 4 shows an overview of the SPI configuration mode timing diagram for the Xilinx FPGAs. This general timing diagram for the SPI interface applies to the Xilinx FPGA families, which support the new SPI direct interface. X-ef Target - Figure 4 POG_B INIT_B (4) M[2:0] (1)(4) < 0 : 0 : 1 > VS[2:0]/FS[2:0] < 1 : 1 : 1 > User I/O CSO_B/FCS_B User I/O CCLK (3)............... 8-bit ead Operation 24-bit Address 1 Dummy Byte (2) User I/O MOSI 0x0B 0x000000 Valid Bitstream DIN/D_IN (4) Header + Sync Word + Data DONE X951_04_120406 Notes: 1. VS[2:0]/FS[2:0] settings can vary depending on which SPI vendor is used. See Table 3, page 6 for selections. 2. The number of MOSI dummy bytes issued vary depending on the variant select (VS[2:0]/FS[2:0]) option. See Table4, page9 for reference. 3. Default startup sequence is shown. 4. For Virtex-5 FPGAs these signals are dedicated and not available as user I/O after configuration. For Spartan-3E FPGAs these signals are available as User I/O after configuration. Figure 4: SPI Configuration Flow for FAST EAD (0x0B) Upon power-up, or when the POG_B pin is pulsed Low, the FPGA goes through an initialization sequence to clear the internal FPGA configuration memory. At the beginning of this sequence, both the DONE and INIT_B pins go Low. When the initialization has finished, the INIT_B pin goes High and the Mode and Variant Select (VS[2:0] or FS[2:0]) pins are sampled. The mode pins should be set for M[2:0]=<0:0:1> to enable the SPI configuration mode and the FPGA internal clock. In the SPI mode, the FPGA samples the Variant Select pins to determine which SPI command sequence to issue. Both the Mode pins and Variant Select pins must be at proper logic levels when the INIT_B signal is released after initialization to ensure proper sampling. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 8

Power-On Considerations for SPI Serial Flash Configuration Table 4 lists the available variant select codes on the Spartan-3E and Virtex-5 devices. Table 4: Variant Select (VS[2:0] or FS[2:0]) Pin Codes VS[2:0]/FS[2:0] (1) SPI Serial Flash Command (2) Address Size Dummy Bytes (2) <1:1:1> FAST EAD (0x0B) 24 bits 1 byte <1:0:1> EAD (0x03) 24 bits 0 bytes <1:1:0> EAD AAY (0xE8) 24 bits 4 bytes Notes: 1. Variant Select pins are denoted as VS[2:0] for Spartan-3E FPGAs, and FS[2:0] for Virtex-5 FPGAs. This table lists the most popular read commands. For a complete listing of read command options, refer to the FPGA data sheets or configuration user guides. 2. SPI serial flash commands and dummy bytes are different between variants. efer to the SPI serial flash vendor's specific data sheet for supported read commands. After the SPI command set is selected by the Variant Select pins, the FPGA drives the CSO_B select signal Low and starts clocking the SPI serial flash via the FPGA's CCLK pin. The FPGA then sends an 8-bit read command followed by a 24-bit start address of 0x00_0000 and the appropriate number of dummy bytes for the targeted command set. The FPGA reads the SPI flash array starting from address 0 until the required number of configuration bits is read. If a valid bitstream is read from the memory device, the DONE signal is released indicating a successful configuration of the FPGA. After a successful configuration, all of the FPGA's SPI pins then become available as user I/O. The FPGA signals used during configuration are listed in Table 3, page 6. When configuring the FPGA in SPI mode, these signals must be tied as specified in the table for a successful configuration. Power-On Considerations for SPI Serial Flash Configuration At power-on, a race condition between the FPGA and SPI serial flash can exist. The FPGA sends a read command to the SPI serial flash to acquire the bitstream after the FPGA has completed its power-on-reset sequence. On the other hand, the SPI serial flash is not ready to receive a read command until the SPI serial flash's power-on-reset sequence has completed. Under specific conditions when the 3.3V power supply to the SPI serial flash powers up after the FPGA power supplies, the race condition can cause the SPI serial flash to miss the read command. The system must be designed such that the SPI serial flash is ready to receive the read command before the FPGA sends the read command. Note: For additional information see the Power-On Precautions if 3.3V Supply is Last in the Sequence section in [ef 4], or [ef 3] for specifics regarding power-on considerations and precautions to ensure successful power-on configuration. SPI Serial Flash Programming Options Programming Similar to the traditional configuration memories, SPI serial flash memories must be loaded with the configuration data. SPI serial flash memories have a single interface for programming, but there are multiple methods to deliver the data to this interface. Three primary delivery methods exist to program an SPI serial flash through the SPI interface: Third-party programmers (off-board programming) Indirect in-system programming (JTAG tool vendor or custom solution) Direct in-system programming (SPI direct interface connect) Production programming is often accomplished via a third-party programmer or JTAG tool vendor, and many distributors offer mass production gang programming. For prototyping, the impact software, included in the Xilinx ISE development software tools, with a Xilinx parallel cable or Platform Cable USB can program select SPI serial flash memories directly (Table 7, page 18). XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 9

SPI Serial Flash Programming Options Programming However, unlike the Xilinx Platform Flash POMs ([ef 4]), which are in-system programmable through a standard JTAG interface, SPI flash devices require an additional cable connector for the SPI direct in-system programming via Xilinx software and cables (Figure 2, page 4). The following sections discuss the hardware connections required for the direct in-system programming of SPI serial flash for prototype designs. The Xilinx software tool flows to generate an SPI-formatted file and to program select SPI serial flash memories is also covered. The following are required to successfully program the select SPI Serial Flash In-System: A Xilinx Cable (Parallel Cable IV, MultiPO Desktop Tool, or Platform Cable USB) Cable connector onboard Properly installed Xilinx ISE 8.2i software (or later) Hardware and Connections for SPI Programming The Xilinx cables listed now support the direct SPI interface for programming SPI serial flash devices in addition to the traditionally supported modes (IEEE 1149.1, IEEE 1532, Slave Serial, and Slave SelectMAP). All of these cables use a standard 14-pin ribbon cable. The ribbon cable is advantageous over flying leads because of the ease of connectivity and improved signal quality for programming at higher speeds. To program a SPI serial flash in-system, a ribbon cable connector should be included on the board. It is important that the signals are connected properly as shown in the pinout in Figure 2, page 4 and in the cross reference Table 5 because the existing cable pod labels do not reflect this newly supported programming mode. Table 5: Download Header Signal Description for SPI Programming Mode ibbon Cable Number SPI Programming Mode JTAG/Slave Serial Configuration Mode Signal Cross eference Type SPI Header Usage Description 2 V EF V EF In Target eference Voltage. This pin should be connected to a voltage bus on the target system that serves the JTAG, Slave-serial, or SPI interface. The target reference voltage must be regulated and must not have a current-limiting resistor in series with the V EF pin. 4 SS TMS/POG Out Chip Select (S). This pin is used to enable the device to accept an instruction. 6 SCK TCK/CCLK Out SPI Clock (C). SPI flash memory clock provides the timing for the serial interface and is produced by the Xilinx cable. 8 MISO TDO/DONE In Serial Data Output (Q). This signal is used to transfer data serially out of the device. 10 MOSI TDI/DIN Out Serial Data Input (D). This input signal is used to transfer data serially into the device. The device receives instructions, addresses, and the data to be programmed from this signal. 12 N/C N/C eserved. This pin is reserved for Xilinx diagnostics and should not be connected to any target circuitry. 14 /INIT BIDI 1, 3, 5, 7, 9, 11, 13 GND GND Digital Ground. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 10

Software Flows for SPI File Preparation and Programming In addition to the cable connector and SPI serial flash power-up considerations, the designer must ensure the SPI serial flash V CC matches the applied voltage and the CCLK trace is kept short to ensure a clean signal is delivered to the SPI serial flash and is present on the FPGA CCLK pin. Finally, the FPGA pins driving the MOSI, MISO, SCK, and SS signals should also be highimpedance during SPI serial flash programming. Ensuring high-impedance on these inputs prevents any contention on these signals by the FPGA when the SPI serial flash is being programmed directly. Several methods available to place the FPGA SPI signals in highimpedance are listed below: Holding the FPGA's POG_B pin Low 3-states all the I/O pins. Changing the FPGA's mode pins to JTAG mode (M[2:0] = <1:0:1>) and pulsing POG_B forces all the FPGA I/Os to high-impedance. 3-stating the MOSI, MISO, SCK, and SS signals from within a functioning FPGA application when programming the attached SPI serial flash using the Xilinx ISE impact. Software Flows for SPI File Preparation and Programming Preparing an SPI POM File This section provides guidelines and the software flow to create POM files for SPI POM. Before converting a FPGA bitstream into a SPI-formatted POM file, the designer must verify the bitstream was generated with the bitgen -g StartupClk:Cclk option. This option ensures proper FPGA functionality by synchronizing the startup sequence to the internal FPGA clock. The Xilinx ISE software tools, POMGen or impact, generate SPI-formatted POM files from the FPGA bitstream. The SPI POM serially outputs data bytes MSB first, while Xilinx POMs output data LSB first. An SPI-formatted POM file is bit-reversed within each byte from a standard Xilinx POM file. Preparing an SPI POM File Using the ISE POMGen Command-Line Software The Xilinx ISE POMGen software takes an FPGA bitstream (.bit) file as input and, with the appropriate options, generates a memory image file for the data array of an SPI POM. The output memory image file format is chosen through a POMGen software command-line option. Typical file formats include Intel Hex (.mcs) and Motorola Hex (.exo). The ISE POMGen software utility is easily executed from a command-line (see Table 5, page 10 for ISE POMGen software options used for SPI POM file generation). An example POMGen software command-line to generate an mcs-formatted file for a 64-megabit (8192 kilobytes) SPI POM is: promgen -spi -p mcs -o spi_prom.mcs -s 8192 -u 0 bitfile.bit The -spi option is required to ensure proper bit ordering within the SPI POM file. The -p mcs option specifies Intel Hex (.mcs) output file format. The -o spi_prom.mcs specifies output to the spi_prom.mcs file. The -s 8192 specifies a POM file image size of 8192 kilobytes. The -u 0 option specifies the data to start at address zero and fill the data array in the up direction. The bitfile.bit is the input bitstream file. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 11

Software Flows for SPI File Preparation and Programming Table 6 list the various POMGen options and the functions. Table 6: Example POMGen SPI POM File Options POMGen Option -spi -p <format> -s <size> -u <address> Description Used to maintain the correct bit ordering required to configure the FPGA from an SPI serial flash device. POM output file format. Commonly accepted POM file formats include Intel Hex (.mcs) and Motorola Hex (.exo). Specifies the POM size in kilobytes. The POM size must be a power of two for this option, and the default setting is 64 kilobytes. Loads the.bit file from the specified starting address in an upward direction. This option must be specified immediately before the input bitstream file. Preparing an SPI POM File Using the ISE impact Graphical Software The ISE impact 8.2i (or later) software integrates POM file formatting and in-system programming features behind an intuitive graphical user interface (GUI). The POMGen file formatting functionality is provided through a step-by-step wizard in the impact software. The wizard steps through the output POM file options and input bitstream selections. A final step is required for impact to generate the POM file. In the impact software, an SPI POM file can be generated from a Xilinx FPGA bitstream through a simple eight step process. A prescribed sequence of dialog boxes (also known as a wizard) acts as a guide through most of the POM file generation process. The following section demonstrates the impact software process for generating an SPI-formatted POM file in the MCS file format for a 64 Mb SPI POM. The demonstrated process takes the bitfile.bit FPGA bitstream file as input and generates a POM file named spi_prom.mcs. Step 1: Create a New Project for POM File Generation After launching the impact software, the impact project dialog box is displayed (Figure 5). Choose the "create a new project (.ipf)" option. Optionally, specify a project location via the Browse button. Then, click OK to continue to step 2 in the process. X-ef Target - Figure 5 Figure 5: X951_05_113006 Create a New Project for POM File Generation XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 12

Software Flows for SPI File Preparation and Programming Step 2: Choose to Prepare a POM File The first dialog box of the wizard displays the available kinds of projects that can be created (Figure 6). Check Prepare a POM File, and select Next to proceed to step 3 of the process. X-ef Target - Figure 6 Figure 6: Choose to Prepare a POM File X951_06_111706 XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 13

Software Flows for SPI File Preparation and Programming Step 3: Specify the Output SPI POM File Options The third step of the process is to specify the targeted POM type, the POM file format, and output file name and location (Figure 7). Choose to target the "3rd-Party SPI POM" type. Select the "MCS" POM file format. Maintain the default "Checksum Fill Value" which is a hexadecimal FF byte value. Specify the POM file name to be spi_prom (to the spi_prom name, impact automatically adds the.mcs file name extension corresponding to the chosen MCS POM file format). Specify a desired directory location for the output spi_prom.mcs file. Click Next to continue to step 4. X-ef Target - Figure 7 Figure 7: X951_07_111706 Specify the Output SPI POM File Options XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 14

Software Flows for SPI File Preparation and Programming Step 4: Specify an SPI POM Density The fourth step of the process is to specify the size of the target SPI POM (Figure 8). From the Select SPI POM Density drop-down list, choose the 64M value that matches the 64 Mb size of the targeted SPI POM in this demonstration. Click Next to proceed to step 5 of the process. X-ef Target - Figure 8 Figure 8: Specify an SPI POM Density X951_08_111706 XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 15

Software Flows for SPI File Preparation and Programming Step 5: Summary of SPI POM File Selections The fifth step displays a summary of the options selected from the prior steps in the process (Figure 9). The summary shows that a POM file in the.mcs file format with a fill value of hexadecimal FF is to be written to a file with a root name of spi_prom for a 64 Mb SPI POM. Click Finish to complete the wizard and proceed to step 6 of the process. X-ef Target - Figure 9 Figure 9: X951_09_111706 Summary of SPI POM File Selections Step 6: Automated Notification to Add a Device File to the SPI POM File After the impact project wizard is finished, the impact SPI POM generation project is set to generate a specific POM file with specific parameters. At this stage, the POM file memory image is empty. The sixth step is to add an FPGA bitstream to the POM file memory image. This step begins immediately after completion of the impact project wizard with an automatic notification that the next step is to add a device file to the SPI POM memory image. Click OK in the Add Device notification dialog box (Figure 10) to proceed to step 7. X-ef Target - Figure 10 Figure 10: X951_10_111706 Add Device Notification Dialog Box XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 16

Software Flows for SPI File Preparation and Programming Step 7: Select the FPGA Bitstream File to Add to the SPI POM Memory Image After the Add Device notification, impact automatically opens a file browser to select the FPGA bitstream (.bit) file to add to the SPI POM memory image (Figure 11). Select the FPGA bitstream file to be written to the SPI POM. Click Open in the browser to add the selected FPGA bitstream to the SPI POM memory image. This action completes the automated impact process for preparing a SPI POM file to be generated. Proceed to step 8 to generate the SPI POM file. X-ef Target - Figure 11 Figure 11: Step 8: impact Generate File Operation Add Device File Browser X951_11_113006 The eighth and final step is to generate the POM file. Under the impact Operations menu, invoke the Generate File menu item (Figure 12, page 18). Once invoked, the Generate File menu item causes impact to generate the specified SPI POM file. impact reports a "POM File Generation Succeeded" message after successful generation of the SPI POM file. After the Generate File operation has completed, the generated spi_prom.mcs file is available in the specified location. The spi_prom.mcs file can be used in any of the supported programming solutions to program the SPI POM with the specified FPGA bitstream contained within the SPI POM file. Save the impact SPI POM generation project for quick regenerating of the SPI POM file whenever the FPGA bitstream design is revised. To regenerate a SPI POM file, reopen the saved impact project, and invoke the Generate File operation. impact generates a revised SPI POM file from the new version of the FPGA bitstream file, assuming the revised bitstream file is located in the same location as the original bitstream file. If a project is not loaded when using the impact GUI interface, a user is guided through the wizard steps each time to create a new SPI-formatted POM file. The designer is prompted to name the project and select the option "Prepare a POM File," following Step 1: Create a New Project for POM File Generation, page 12 through Step 8: impact Generate File Operation to generate a new SPI file. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 17

Software Flows for SPI File Preparation and Programming X-ef Target - Figure 12 X951_12_111706 Figure 12: Generate File Menu Using the ISE impact Software to In-System Program SPI POMs In prototype applications, the ISE impact 8.2i (or later) software can be used to in-system program select SPI serial flash devices with a memory image from a given SPI POM file (see Preparing an SPI POM File, page 11 for instructions on the generation of a SPI POM file). Table 7 lists the selected SPI serial flash memories that can be programmed with impact. Table 7: SPI Serial Flash Programming Capability with impact SPI Serial Flash Vendor Family (1) STMicroelectronics Atmel M25P, M25PE, M45PE AT45DB Notes: 1. efer to the impact Software Manual for SPI support. The impact software can program select SPI POM using a simple seven-step process. A prescribed sequence of dialog boxes (or wizard) acts as a guide through most of the impact programming process. The following section demonstrates the impact software process for in-system programming a M25P64 (64 Mb) STMicroelectronics SPI POM. The demonstrated process takes the spi_prom.mcs SPI POM file (generated in Preparing an SPI POM File, page 11 section) as input, erases the SPI POM, programs the POM file contents into the SPI serial flash device, and verifies the SPI POM contents against the given SPI POM file contents. Step 1: Create a New Project for Direct In-System Programming After launching the impact software, the impact Project dialog box is displayed (Figure 5, page 12). Choose the "create a new project (.ipf)" option. Optionally, specify a project location via the Browse button. Then, click OK button to continue to step 2 in the process. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 18

Software Flows for SPI File Preparation and Programming Step 2: Configure Devices Using the Direct SPI Configuration Mode The second step begins with the impact project wizard. The first dialog box of the wizard displays the available kinds of projects that can be created (Figure 13). Choose the "Configure devices" option. Then, select the using Direct SPI Configuration mode item from the associated drop-down list box. Click Finish to complete the new project setup process. At the completion of this process, impact is set into a mode for in-system programming SPI serial flash memories using a direct cable connection to the SPI bus. X-ef Target - Figure 13 Figure 13: X951_13_111706 Configure Devices Using the Direct SPI Configuration Mode XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 19

Software Flows for SPI File Preparation and Programming Step 3: Add a SPI POM File After finishing the new project wizard, impact automatically leads into the third step of the process. impact automatically displays a file browser window to select a SPI POM file for programming into the SPI serial flash device (Figure 14). Choose the spi_prom.mcs file, and click Open. X-ef Target - Figure 14 Figure 14: Add a SPI POM File X951_14_113006 Step 4: Select STMicroelectronics M25P64 Device Part Number After selecting the SPI POM file to load, impact displays the Select Device Part Name dialog box (Figure 15). The fourth step of the process requires the target type of SPI POM to be specified in this dialog box. Select the STMicroelectronics M25P64 part number for the target SPI POM type used in this demonstration. Click OK to complete the SPI POM programming setup. X-ef Target - Figure 15 X951_15_111706 Figure 15: Select Device Part Name Dialog Box Step 5: Specifies the Hardware equirements for In-system Programming The fifth step of the programming process specifies the hardware requirements for in-system programming of the SPI POM: Proper Xilinx cable connection: The Xilinx cable must be properly connected to the computer and to the SPI bus of the target SPI POM (see Figure 2, page 4 for hardware connections from the Xilinx cable to the SPI bus of the target SPI POM). Cable power: If using the Xilinx Parallel Cable IV or Xilinx MultiPO cable, then power must be applied to the cable. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 20

Software Flows for SPI File Preparation and Programming Target system Power: Power must also be supplied to the target system containing the SPI POM. Isolate target FPGA signals during the SPI programming process: The target FPGA (and any other potential SPI POM master device on the SPI bus) must be put into a mode to keep its SPI pins in an high-impedance state. Methods to put the FPGA SPI pins in a high-impedance state are described in Hardware and Connections for SPI Programming, page 10. One common method requires the FPGA POG_B pin to be held Low. The highimpedance condition of the FPGA SPI pins must be maintained throughout the remainder of the SPI POM programming process in order to avoid contention with the in-system programming cable. Step 6: Invoke the impact Program Operation The sixth step of the process programs the target SPI POM with the selected SPI POM file contents. Ensure the SPI POM icon in the impact window is selected by left-clicking on the SPI POM icon (the SPI POM icon is highlighted in green when selected). Select Operations Program to begin programming (Figure 16). X-ef Target - Figure 16 Figure 16: Program Menu X951_16_111706 XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 21

Software Flows for SPI File Preparation and Programming Step 7: Select impact Programming Properties In response to the invocation of the Program operation, impact presents the Programming Properties dialog box (Figure 17). The seventh step of the process ensures the selection of proper programming properties. Ensure that both the Verify and the Erase Before Programming options are checked, ensuring proper programming of the SPI POM. Click OK to begin the erase, program, and verify operations. X-ef Target - Figure 17 Figure 17: Programming Properties Dialog Box X951_17_111706 At the start of the programming operation, impact automatically connects to the cable attached to the computer. Then, impact displays a Progress Dialog box as it progresses through the insystem erase, program, and verify operations (Figure 18). Depending on the size of the SPI POM, size of the SPI POM file image, and speed of the cable configuration, the programming operation can take anywhere from a few seconds to a few minutes to complete. X-ef Target - Figure 18 Figure 18: Progress Dialog Box X951_18_111706 At the end of a successful Program operation, impact reports a "Program Succeeded" message. Save the impact Direct SPI Configuration Mode project for quickly reprogramming the SPI POM whenever the SPI POM file is revised. To reprogram the SPI POM, reopen the saved impact project, and invoke the Program operation, ensure the selection of the Erase and Verify Programming Properties, and click OK. impact reprograms the SPI POM, assuming the revised SPI POM file is located in the same location as the original SPI POM file. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 22

Conclusion Conclusion eferences The addition of the SPI interface in new Xilinx FPGA families allows designers to use multivendor small footprint SPI POM for configuration. Systems with an existing onboard SPI POM can leverage the single memory source for storing configuration data in addition to the existing user data. Device Xilinx documents 1. Virtex-5 Documents. 2. DS202, Virtex-5 FPGA Family Data Sheet. 3. UG191, Virtex-5 Configuration User Guide. 4. DS312, The Spartan-3E FPGA Family Data Sheet. 5. UG332, Spartan-3 Generation Configuration User Guide. Software The Xilinx POMGen and impact software are available with the main Xilinx ISE Foundation software or with the downloadable Xilinx ISE WebPACK software packages. ISE Foundation software: http://www.xilinx.com/ise/logic_design_prod/foundation.htm ISE WebPACK software: http://www.xilinx.com/ise/logic_design_prod/webpack.htm The Xilinx ISE software manuals are available at: http://www.xilinx.com/support/software_manuals.htm Hardware Information regarding the Xilinx cables are found on the Xilinx Configuration Solutions website: http://www.xilinx.com/products/design_resources/config_sol/ See the ISE impact 8.2i (or later) software manuals for supported Xilinx cables. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 23

evision History evision History Notice of Disclaimer The following table shows the revision history for this document. Date Version evision 12/13/06 1.0 Initial Xilinx release. 10/03/07 1.1 Updated document template. Added a cautionary note regarding CCLK layout to Figure 2, page 4 and Figure 3, page 5. 11/20/07 1.1.1 Updated ULs. Xilinx is disclosing this Application Note to you AS-IS with no warranty of any kind. This Application Note is one possible implementation of this feature, application, or standard, and is subject to change without further notice from Xilinx. You are responsible for obtaining any rights you may require in connection with your use or implementation of this Application Note. XILINX MAKES NO EPESENTATIONS O WAANTIES, WHETHE EXPESS O IMPLIED, STATUTOY O OTHEWISE, INCLUDING, WITHOUT LIMITATION, IMPLIED WAANTIES OF MECHANTABILITY, NONINFINGEMENT, O FITNESS FO A PATICULA PUPOSE. IN NO EVENT WILL XILINX BE LIABLE FO ANY LOSS OF DATA, LOST POFITS, O FO ANY SPECIAL, INCIDENTAL, CONSEQUENTIAL, O INDIECT DAMAGES AISING FOM YOU USE OF THIS APPLICATION NOTE. XAPP951 (v1.1.1) November 20, 2007 www.xilinx.com 24