A Single Ended SRAM cell with reduced Average Power and Delay

Similar documents
6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Column decoder using PTL for memory

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

250nm Technology Based Low Power SRAM Memory

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Survey on Stability of Low Power SRAM Bit Cells

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

A Novel Architecture of SRAM Cell Using Single Bit-Line

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

A Low Power SRAM Cell with High Read Stability

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Implementation of DRAM Cell Using Transmission Gate

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

Design of Low Power SRAM in 45 nm CMOS Technology

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

An Efficient Design of 8T SRAM Cell Using Transmission Gates

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

A REVIEW ON LOW POWER SRAM

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

A Low Power SRAM Base on Novel Word-Line Decoding

Low Power and Improved Read Stability Cache Design in 45nm Technology

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

CS250 VLSI Systems Design Lecture 9: Memory

MEMORIES. Memories. EEC 116, B. Baas 3

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM

AN ANALYTICAL APPROACH TO DESIGN VLSI IMPLEMENTATION OF LOW POWER, HIGH SPEED SRAM CELL USING SUB-MICRON TECHNOLOGY

Design of 6-T SRAM Cell for enhanced read/write margin

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM

Unleashing the Power of Embedded DRAM

Low Power SRAM Design with Reduced Read/Write Time

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

A Comparative Study of Power Efficient SRAM Designs

Content Addressable Memory performance Analysis using NAND Structure FinFET

CENG 4480 L09 Memory 2

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability

Memory in Digital Systems

LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE

+1 (479)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

Memory Classification revisited. Slide 3

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Design and verification of low power SRAM system: Backend approach

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Australian Journal of Basic and Applied Sciences. Design and Analysis of SRAM Cell for Ultra Low Voltage Deviation

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Analysis and Design of Low Voltage Low Noise LVDS Receiver

POWER ANALYSIS RESISTANT SRAM

SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

Design of a Low Power and Stable 11T SRAM cell with bit-interleaving capability

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS

Minimizing Power Dissipation during Write Operation to Register Files

Design of Read and Write Operations for 6t Sram Cell

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Design of Low Power 5T-Dual Vth SRAM-Cell

Lecture 11: MOS Memory

Semiconductor Memory Classification

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Memory in Digital Systems

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

Efficient Current Mode Sense Amplifier for Low Power SRAM

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS

PICo Embedded High Speed Cache Design Project

Deep Sub-Micron Cache Design

Yield-driven Near-threshold SRAM Design

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

DESIGN OF HIGH SPEED & LOW POWER SRAM DECODER

ECE 152 Introduction to Computer Architecture

Transcription:

A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology, Murthal, India 2Assistant Professor, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology, Murthal, India Abstract- The increasing demand for high density VLSI circuits and dependency on power and delay is becoming a major challenge. Balancing these requirements is driving the effort to minimize the footprint of SRAM cells. In this work, a single ended bitline approach is used to reduce the power and delay requirements. This cell has been designed and simulated using 90nm technology using cadence on a virtuoso platform. Keywords: SRAM, low power, CMOS, Scaling, power dissipation. I. INTRODUCTION SRAM cells are used in almost all the digital systems and high performance processors. The usage of sram has continuously increased in system on chip (soc) designs. 70% of SoC area is occupied by SRAM. SRAM is mainly used for cache memory in microprocessor, mainframe computers, engineering workstations memory in handheld devices due to high speed and low power consumption[1]. According to moore s law, the number of transistor on a chip doubles in every eighteen months. With increasingly popularity of a chip memory in VLSI circuits, the range of single chip memory has drastically increased. But with increase in size and density, power consumption and delay increases as well. In digital CMOS circuits, switching power is dissipated when energy is drawn from power supply to charge up the output node capacitance. More than 40% of the active energy is consumed because of leakage currents in modern high performance processors. An array of SRAM cells is a major source of leakage currents in modern high performance processors because a large number of transistors are used in today s on chip cache memory. Thus it is essential to design a low leakage SRAM cell. In order to reduce the power consumption, the methodologies that are generally used to degrade the response time. By reducing the supply voltage Vdd, dynamic power decreases four times and first order leakage power decreases in a linear manner. Thus by operating the cell in subthreshold region, it is possible to achieve low power cell[3]. A conventional 6T SRAM cell poor read stability when operated at low supply voltage. In this paper we propose using of a single ended SRAM cell in the memory array in order to reduce power and delay using cadence tool on virtuoso platform in 90nm technology. II. SRAM ARCHITECTURE The memory circuit is said to be static if the stored data can be retained indefinitely i.e. as long as a sufficient power supply voltage is provided, without any need of a periodic refresh operation. A SRAM cache consists of an array of bistable memory bitcells along with peripheral circuits. These peripheral circuits enable reading and writing into the array. SRAM can be organised as bit oriented or word oriented. In bit oriented SRAM, each address accesses a single bit, whereas in a word oriented memory, each address accesses a word of n bits. An SRAM cell must be designed such that it provides a non destructive read operation and a reliable write operation. These two requirements impose contradicting requirements on SRAM cell transistor sizing. Figure 1 shows a typical SRAM block diagram. SRAM cell transistor ratios that must be observed for successful read and write. Main building blocks are: 1. SRAM Cell 2. Precharge Circuit 3. Write Driver Circuit 4. Sense Amplifier 5. Row Decoder 2016, IRJET Impact Factor value: 4.45 ISO 9001:2008 Certified Journal Page 146

iii. WL is risen from 0 to 1. As a result, one of the bitcell node stores the logic 0. That side of bitline is discharged through the pass gate and pull down transistors. M1 and M4 discharges the precharged bitlines BL. iv. If BLB goes low or discharges, then the bitcell holds a logic 1 value. If BL goes low or discharges, then bitcell holds a logic 0 value as shown in the figure 3. Depending upon whether the bitline BL or BLB is discharged, the bitcell is read as logic 1 or 0. A sense amplifier converts the differential signal existing on BL and BLB to a logic level output. v. The wordline is then de asserted back to 0. Fig.1 Basic SRAM Architecture III. SRAM CELL A conventional 6T SRAM cell consists of two identical CMOS inverters connected in a positive feedback loop as shown in figure 2. It forms a basic unit that is, flip flop or latch to create a bi-stable circuit allowing the storage of one bit of information, either 1 or 0. The internal nodes (Q and QB) of the bitcell always contain complementary values. The cross coupled inverter pair itself consists of two PMOS pull up devices (M3 & M5) aand two NMOS pull down devices (M2 & M1) which are controlled by wordline (WL), serve as switches between the inverter pair and complementary pair of bitlines (BL and BLB) also called data lines, used to read in or write to the bitcell. The data in SRAM bitcell is stored as long as the power is maintained to the bit cell. The cross coupled inverter pair can be in one of the two stable states of an SRAM bitcell, which corresponds to the data stored 1 and 0 respectively. Basic operation of a bitcell as a storage device are reading or writing new data to the bitcell. Fig 3. SRAM bitcell 1 b. Write operation i. For write operation it is initially assumed that internal data storage nodes Q and QB are at 0 and 1 respectively. Initially wordline WL=0. ii. The bitlines are precharged to supply voltage Vdd and then disconnected. iii. Wordline (WL) is activated to high and data iv. enters the bitcell. Data value is placed on the BL and the complementary data value on BLB. v. The bitline BLB connected to the data storage node QB via M 2 is driven to the ground potential by a write driver through the M 2 pass gate transistor, while BL is remained held at Vdd to pull node Q to high via M 1 pass gate transistor. vi. Wordline is de-asserted back to 0 as node Q and QB flip their states. Fig.2 6T SRAM Cell a. Read operation i. For the read operation initially it is assumed that storage nodes Q and QB are at 0 and 1 respectively. ii. BL and BLB are precharged to Vdd or an intermediate level of 0 and Vdd. Fig 4. SRAM bitcell 0 2016, IRJET Impact Factor value: 4.45 ISO 9001:2008 Certified Journal Page 147

IV. SINGLE ENDED SRAM CELL There are two primary areas having strong potential of active as well as the leakage power reduction: a) lowering the operating voltage which has quadratic dependency with active power. P active = α.c.v 2 dd.f and linear relationship with leakage power and b) reduction in charging the capacitance of word and bit lines. 70% of the total active power is dissipated in charging or discharging the capacitance of word and bit lines during read and write operations. Reduction in charging or discharging capacitance of a word or bit line can be achieved either by having fewer word or bit lines for accessing a bit cell, or by the partial activation of multi divided word or bit lines. It has two advantages, apart from saving of active and leakage power: a) use of fewer word and bit lines reduces the silicon overhead and b) a divided word or bit line configuration will reduce the wire delay. Such a cell with single bitline is used for reduced power and delay. Figure 5 shows the single ended SRAM bitcell design. This design consists of a cross coupled inverter pair connected in a positive feedback. The inverter pair is connected to a read or write bitline (V BL) by an access transistor M 1 which is controlled by a write word line (WWL). A separate read port used for reading the content of the bitcell comprises of transistor M 1R and M 2R. The read or write bitline is connected to ground if the node QB and RWL are high, which corresponds to 0 bit is stored in the bitcell. Similarly, if node QB is low and RWL is high, V BL is approximately kept high, which corresponds to 1 bit is stored in the bitcell. Transistor M 2 in read port is controlled by the read wordline (RWL) to read the bitcell content and it is shared per word. V. IMPLEMENTATION AND EXPERIMENTAL RESULTS A conventional 6T SRAM cell along with a single ended SRAM cell are designed and simulated using cadence tool on virtuoso platform using 90µm technology. Fig.6 Schematic of 6T SRAM Cell Fig.7 6T Read Operation Waveform Figure 5. Single Ended SRAM Cell 2016, IRJET Impact Factor value: 4.45 ISO 9001:2008 Certified Journal Page 148

Fig.8 6T Write Operation Waveform Fig.11 Single Ended Write Operation Waveform Fig.9 Schematic of Single Ended SRAM Cell Fig.12 Layout of Single Ended SRAM Cell VI. CONCLUSION A 1V, 6T SRAM cell and single ended bitcell were implemented. Using of a single bitline instead of two, saves active as well as leakage power and delay. Type of SRAM unit 6T Cell SRAM Single Ended SRAM Cell Comparison Delay(ns) 3.001 2.270 24% Read Power (mw) Write Power (mw) 6.95 2.068 70% 1.935 1.013 47% Fig.10 Single Ended Read Operation Waveform VII. REFERENCES 1. Preeti S Bellerimath and R. M Banakar, Implementation of 16X16 SRAM Memory Array using 180nm Technology ISSN 2277 4106 2. Sung-Mo Kang, Yusuf Leblebici, CMOS Digital Integrated Circuits analysis and design Tata McGraw-hill Edition, 3rd Edition. 2016, IRJET Impact Factor value: 4.45 ISO 9001:2008 Certified Journal Page 149

3. Soumitra Pal and Aminul Islam, Variation Tolerant Differential 8T SRAM Cell for Ultralow Power Applications IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 4. Kimizuka, N., Yamamoto, T.,Mogami, T., Yamaguchi, K., Imai, K., Horiuchi, The impact 5. of bias temperature instability for direct-tunneling ultra-thin gate oxide on mosfet scaling VLSI Technology, 1999. Digest of Technical Papers, pp. 73 74 6. Aly, R., Bayoumi, M., Low-power cache design using 7T SRAM cell IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318 322 (2007) 7. Chang, Y.J., Lai, F., Dynamic zero-sensitivity scheme for low-power cache memories IEEE Micro 25(4), 20 32 8. Guo, Z., Carlson, A., Pang, L.T., Duong, K., Liu, T.J.K., Nikolic, B.: Large-scale SRAM variability characterization in 45 nm CMOS. IEEE J. Solid-State Circuit 44, 3174 3192 9. Kao, J., Narendra, S., Chandrakasan, A.: MTCMOS hierarchical sizing based on mutual 10. exclusive discharge patterns. In: DAC 98: Proceedings of the 35th Annual Conference on 11. Design Automation, San Francico, pp. 495 500 12. Tae-Hyoung Kim, Jason Liu, John Keane & Chris H. Kim, A 0.2v, 480kb Subthreshold SRAM with 1k cells per bitline for ultra low voltage computing IEEE Journal of Solid State Circuits, vol 43, no. 2, February 2008 13. Neeraj kr. Shukla, R.K. Singh & Manisha Pattanaik, Design and analysis of a novel low power SRAM Bit cell Structure at deep sub micron CMOS technology for Mobile Multimedia Applications International Journal of Advanced Computer Science and Applications, vol. 2, no. 5, 2011, pg 43-49 14. Kanika Kaur, Anurag Arora, Performance of Low Power SRAM cells on SNM and power Dissipation International Journal of Emerging Trends & Technology in Computer Science, Vol. 2, Issue 2, pg 15-19 15. Mohamad Jafar Taghizade, Mohsen Dolatabadi, A Study of Different Types of SRAM Designed for usages with low Power Consumption, International Research Journal of Applied and Basic Sciences, vol 8(9) pg 1336-1344. 2016, IRJET Impact Factor value: 4.45 ISO 9001:2008 Certified Journal Page 150