Design Efficient VLSI architecture for an Orthogonal Transformation Himanshu R Upadhyay 1 Sohail Ansari 2

Similar documents
FPGA IMPLEMENTATION OF HIGH SPEED DCT COMPUTATION OF JPEG USING VEDIC MULTIPLIER

Design of 2-D DWT VLSI Architecture for Image Processing

Efficient Implementation of Low Power 2-D DCT Architecture

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2

DESIGN OF DCT ARCHITECTURE USING ARAI ALGORITHMS

A Parallel Reconfigurable Architecture for DCT of Lengths N=32/16/8

DUE to the high computational complexity and real-time

AMONG various transform techniques for image compression,

Speed Optimised CORDIC Based Fast Algorithm for DCT

Image Compression Algorithm and JPEG Standard

FPGA Implementation of 16-Point Radix-4 Complex FFT Core Using NEDA

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A Novel VLSI Architecture for Digital Image Compression using Discrete Cosine Transform and Quantization

FPGA Implementation of Low Complexity Video Encoder using Optimized 3D-DCT

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 05, 2016 ISSN (online):

International Research Journal of Engineering and Technology (IRJET) e-issn:

ROI Based Image Compression in Baseline JPEG

: : (91-44) (Office) (91-44) (Residence)

Compressive Sensing Based Image Reconstruction using Wavelet Transform

Pipelined Fast 2-D DCT Architecture for JPEG Image Compression

DIGITAL IMAGE PROCESSING WRITTEN REPORT ADAPTIVE IMAGE COMPRESSION TECHNIQUES FOR WIRELESS MULTIMEDIA APPLICATIONS

ISSN (ONLINE): , VOLUME-3, ISSUE-1,

Keywords - DWT, Lifting Scheme, DWT Processor.

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

EFFICIENT DEISGN OF LOW AREA BASED H.264 COMPRESSOR AND DECOMPRESSOR WITH H.264 INTEGER TRANSFORM

Copyright Detection System for Videos Using TIRI-DCT Algorithm

CHAPTER 9 INPAINTING USING SPARSE REPRESENTATION AND INVERSE DCT

A Image Comparative Study using DCT, Fast Fourier, Wavelet Transforms and Huffman Algorithm

A Novel VLSI Architecture for Digital Image Compression Using Discrete Cosine Transform and Quantization

Image Compression Techniques

An HEVC Fractional Interpolation Hardware Using Memory Based Constant Multiplication

Low Power VLSI Implementation of the DCT on Single

Area and Power efficient MST core supported video codec using CSDA

Reconfigurable PLL for Digital System

Lecture 12 Video Coding Cascade Transforms H264, Wavelets

FPGA Matrix Multiplier

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

CSE237A: Final Project Mid-Report Image Enhancement for portable platforms Rohit Sunkam Ramanujam Soha Dalal

University of Mustansiriyah, Baghdad, Iraq

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Introduction ti to JPEG

A Dedicated Hardware Solution for the HEVC Interpolation Unit

Multimedia Communications. Transform Coding

OPTIMIZATION OF AREA COMPLEXITY AND DELAY USING PRE-ENCODED NR4SD MULTIPLIER.

Review and Implementation of DWT based Scalable Video Coding with Scalable Motion Coding.

Chapter 4 Implementation of a Test Circuit

HYBRID TRANSFORMATION TECHNIQUE FOR IMAGE COMPRESSION

VLSI Design Of a Novel Pre Encoding Multiplier Using DADDA Multiplier. Guntur(Dt),Pin:522017

IMAGE COMPRESSION. October 7, ICSY Lab, University of Kaiserslautern, Germany

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder

Design of Convolution Encoder and Reconfigurable Viterbi Decoder

FSM Design Problem (10 points)

Wireless Communication

MRT based Fixed Block size Transform Coding

Video Compression An Introduction

Lecture 8 JPEG Compression (Part 3)

f. ws V r.» ««w V... V, 'V. v...

Implementation of Lifting-Based Two Dimensional Discrete Wavelet Transform on FPGA Using Pipeline Architecture

Power and Area Efficient Implementation for Parallel FIR Filters Using FFAs and DA

Design and Implementation of 3-D DWT for Video Processing Applications

Key words: B- Spline filters, filter banks, sub band coding, Pre processing, Image Averaging IJSER

SOME CONCEPTS IN DISCRETE COSINE TRANSFORMS ~ Jennie G. Abraham Fall 2009, EE5355

Lossless Image Compression having Compression Ratio Higher than JPEG

Comparative Study and Implementation of JPEG and JPEG2000 Standards for Satellite Meteorological Imaging Controller using HDL

Using Streaming SIMD Extensions in a Fast DCT Algorithm for MPEG Encoding

An Efficient VLSI Architecture of 1D/2D and 3D for DWT Based Image Compression and Decompression Using a Lifting Scheme

COMPRESSIVE VIDEO SAMPLING

Design of DWT Module

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION

Three Dimensional Motion Vectorless Compression

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation

FPGA Implementation of 2-D DCT Architecture for JPEG Image Compression

SIGNAL COMPRESSION. 9. Lossy image compression: SPIHT and S+P

High Performance and Area Efficient DSP Architecture using Dadda Multiplier

Lecture 10 Video Coding Cascade Transforms H264, Wavelets

Digital Image Steganography Techniques: Case Study. Karnataka, India.

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression

Partial Video Encryption Using Random Permutation Based on Modification on Dct Based Transformation

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

CSEP 521 Applied Algorithms Spring Lossy Image Compression

AN EFFICIENT VLSI IMPLEMENTATION OF IMAGE ENCRYPTION WITH MINIMAL OPERATION

Three-D DWT of Efficient Architecture

Image data compression with CCSDS Algorithm in full frame and strip mode

Enhanced Implementation of Image Compression using DWT, DPCM Architecture

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Paper ID # IC In the last decade many research have been carried

High Speed Special Function Unit for Graphics Processing Unit

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression

AN ANALYTICAL STUDY OF LOSSY COMPRESSION TECHINIQUES ON CONTINUOUS TONE GRAPHICAL IMAGES

Image Compression for Mobile Devices using Prediction and Direct Coding Approach

A Survey of Imprecise Signal Processing

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

MEMORY EFFICIENT WDR (WAVELET DIFFERENCE REDUCTION) using INVERSE OF ECHELON FORM by EQUATION SOLVING

CHAPTER 4. DIGITAL DOWNCONVERTER FOR WiMAX SYSTEM

Verilog for High Performance

Optimized Progressive Coding of Stereo Images Using Discrete Wavelet Transform

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

Topics. Midterm Finish Chapter 7

Transcription:

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 Design Efficient VLSI architecture for an Orthogonal Transformation Himanshu R Upadhyay 1 Sohail Ansari 2 2 Professor 1,2 Department of Electronics & Communication Engineering 1,2 Shanstilal Shah Engineering College, Bhavnagar, Gujarat Abstract Many Orthogonal transform techniques are available. Among the orthogonal transform DCT is widely used technique in DSP. DCT is utilized in many algorithm related to image compression. This transform requires large number of multiplications and additions. Large number of hardware elements is required for the same, special purpose designed hardware should be used, which gives high efficiency and throughput. Many transform algorithms offers high speed as well as lower silicon area. In this project we have tried to optimize power at algorithmic level, architectural level and logic level. We have implemented architecture using row column decomposition technique implemented with distributed arithmetic, a multiplier less architecture. It contains features so as to dynamically reduce computation. Key words: VLSI architecture, Orthogonal Transformation, DCT Let the image be A of size, image A is down sampled to image B of size image down sampling is done by taking average of 4 neighboring pixels and making average value as a new pixel of the down sample image, then DCT is performed on the image. After DCT operation a matrix of sizeof DCT coefficients from which only upper left corner coefficients are taken and all other are neglected, because most of the energy lies on the low frequencies; And it appears at upper left corner of the Discrete cosine transform and high frequency is represented by lower right values, which can be removed with very less perceptual loss. These upper left corner coefficients are sent over network. So for an image of size, only, data is sent/stored, if 75% of DCT coefficients are removed. Now at the receiver end we have a DCT coefficient matrix (D) of size which is padded with zeros in right and bottom to make it of size. I. INTRODUCTION Discrete cosine transform requires high computational operations including multiplications and additions. To process the data based on real time data we require special purpose efficient hardware is required which can give good throughput. In order to have high speed transform many algorithm were developed. We have implemented architecture using row column decomposition technique implemented with distributed arithmetic a multiplier less architecture. It contains features so as to dynamically reduce computation. Discrete Cosine Transform is highly used in processing signals as image data, typically compression, especially in lossy compression, for the better performance. Because of the wide-spread use of DCT's, research into fast algorithms for their implementation has been rather active,and also, since the DCT is computation intensive, the development of high speed hardware and real-time DCT processor design have been object of research.discrete cosine transform (DCT) is extensively used in domains like image processing. It is used in still image compression to compress each and every video frames individually, where as n-dimensional Discrete cosine transform will be helpful for compressing video streaming. Discrete cosine transform helps in transferring n-dimensional data to frequency domain once it comes to f-domain many operations will be performed in more efficient manner. II. HOW DCT COMPRESS IMAGES A. Selecting a Template (Heading 2) Given a test image, down sample version of the same is converted into transformed domain using discrete cosine transform (DCT) and significant coefficient are transmitted. At the receiver end Compressive sensing based theory is applied to the inverse DCT image to get the estimate of the original. This is a lossy compression and results are compared with direct DCT compression. Fig. 2.1: How DCT compresses an image We take Inverse Discrete cosine transform(idct) of the zero padded matrix D to get an image (DI) of. Image is divided in to 4X4 non overlapping blocks (B), each block Bi is compared with all the blocks (BD k of same sizes B) of down sampled images available in the database, to find the block (BD k ) with minimum Euclidean distance to block Bi. If the minimum Euclidean distance is less than the threshold value than this block BD k is considered as matched block, for this 4 x 4 matched block BD k a corresponding block of double size (8 x 8) is extracted from the original image available in1 the database for the corresponding down sample image in which block BD k is found. This8 x 8 block is placed in the reconstruction image on the location according to the block Bi. This searching for the matching block in database is images is performed using Approximate Nearest Neighbor Search(ANN), free available ANN library is used for ANN search[2].in other words we are converting an image in n x n image using block matching with database images. There is high probability that we will not get matching block BD k for some blocks. So we need to estimate the unmatched blocks from the data available about unmatched block from DI and matched blocks B using Orthogonal Matching Pursuit Algorithm (OMP)[3,4]. All rights reserved by www.ijsrd.com 158

Many papers related to discrete cosine transform is there which tells the importance of Discrete cosine transform. Hardware implementation of discrete cosine transform will give higher output than software solutions. Better hardware solutions will lower down the computational load over the multi-core processor and hence the entire performance of the media systems will be improved. Another important parameter is the quality of the music in the system. Quality depends on DCT-IDCT inter conversion accuracy which will effect the quality of multimedia. Hence, hardware specific Discrete cosine transform should be there, two dimensional Discrete cosine transform algorithms are most important for image compression, We should focus on designing efficient hardware implementations of 2-D DCT based compression by decreasing the number of computations and increase the efficiency and accuracy of reverse transform and decreasing the chip area so as to optimize the utilized power of Discrete cosine transform. As the N-dimension DCT is of imp. Algorithms which can be extended to that can be given more importance. JPEG is the standard image compression format it has very good techniques for Discrete cosine transform quantization and compression, it was only developed for low compressions. Due to availability of faster processors 8 8 DCT block size is not mandatory which was earlier selected for speed. Discrete Cosine Transform (DCT) decorrelates the image data. Each coefficient should be encoded individually without losing compression efficiency. B. One-Dimensional DCT Discrete cosine transform for 1-D sequence of length N can be defined as multiplication with other waveform followed by summation gives zero over one sample whereas multiplication with itself and then summation gives a scalar value. Cosine basic function are individual none can be represented in the form of other. Fig. 2.2: One Dimensional Cosine Functions For sequence pertaining more than N sample points can be divided in to sub sequence and Discrete cosine transform can be applied. Where the values of basis function points will remain same. Only the values of function will change. Hence exploiting this property basis functions can be computed well in advance and 0then multiplied ith the sub-sequences. Which results in reduction of operations and increase of efficiency C. Two-Dimensional DCT Two dimensional DCT is the extension of one dimensional DCT so we can directly find it out as follows First value looks like average value so it is called DC Coefficient. Rest are called the AC Coefficients. To fix ideas, ignore the f(x) and α(u) component in (1). The plot of Two dimensions basis function can be obtained from one dimension basic function as shown in the figure one [13]. The basis functions for N = 8 are shown in. There is the basis increases in frequency both in the vertical and horizontal direction. The top left basis function is due to the DC component in Figure 1 with its transpose. Hence it is basically a DC coefficient. If we keep N=8 and and change the value of u than (u = 0) represents average dc value, and all other waveforms is like harmonics of increasing frequency. They are called cosine basis function, they are orthogonal. So All rights reserved by www.ijsrd.com 159

A. Distributed Arithmetic Let us apply DA on multiplicative addition of given 2D arrays. [ ] C[n] are known coefficients and variable x[n] can be represented in the bits format as follows: Fig. 2.3: Two Basic function of 2D DCT Black = negative amplitude, White = Positive ampliture III. COMPARISON OF 2D APPROACHES WITH DIFFERENT 1D ALGORITHMS Computational requirements of both the row-column approach and the direct approach are compared with the use of different 1-D base algorithms in table 3.1. From the given computational requirements, row-column and direct 2-D approaches of DA Chen and Fralick 1-D algorithm appeared optimal since it is the multiplier less architecture. Chen and Fralick algo using DA is optimal (multiplier less architecture)direct method uses 278 less addition with greater complexity hence it cannot be a choice for low power design..we have selected Fraclick DA algorithm for Architectural Design. where is 0 or 1 representing bth position of the given binary representation Let us try to calculate the four dimension dot product of the two four dimensional vector in which horizontal vector consists of constant element and vertical vector consists of the variable vector Let us represent vectors in eight bit binary format as shown in the equation below. Multiply both the matrix to obtain the following equation. Table 3.1: Computatinal comparison between various algorithm Fast 1-DDCT algorithm was selected for use in both direct and row-column 2-D approaches was developed by Chen and Fralick[7]. 8-point, 1-D DCT, written in matrix factorization, is given below. We choosed this algorithm because it lends perfectly to Distributed Arithmetic implementation thereby eliminating all the multiplications in the design. Distributed Arithmetic replaces high cost high power multiplier with the low cost low power adders. Each bit of P,Q,R,S is either zero or one. Thus the terms in the brackets reduces to combination of sum of four know constant's. For each term constant A,B,C,D are either included in he the sum or not, depending on the value of bits of P,Q,R,S for each bit. Withfour constants there will be 16 possile combinations each ot them will be stored in the look up table. Input of the look up table will be bits of variable vectors and output will be summed constants. All rights reserved by www.ijsrd.com 160

Fig. 3.2: DA Implementation Elements required to implement the DA are a 16- element LUT and decoder, an adder and a shifter, group together as shown in the figure. Shift register inputs one column of input bits per clock cycle to the LUT. MS column of bits are fed first and the LS column. Output continuously get summed with shifting of previous look up. In serial architecture answer is obtained in number of clock cycles equal to bit length of the input element's. Serial input limit performance greater performance can be obtained by increasing the hardware. Fig. 3.3: Look up table B. Fully Parallel approach in Discrete Arithmetic By replicating LUT as shown in the figure. LUT can be replicated as many times as there are input bits. If input are 8 bits it should be replicated 8 times. and answer will converge in one clock cycle. Fig. 3.4: Parallel Implementation of DA Table 3.2: Binary representaiton of coefficients IV. POWER REDUCTION Development of the design is done in such a way that minimum power is consumed with respect to algorithm and architecture level. Computational efficiency was the primary measure to optimize the power. A special type of algorithm was selected so as to minimize the power requirements of the design by choosing multiplier less architecture. Row column decomposition was choosed after comparing the power with direct method. Regularity of row column method reduces the power requirements as well as area due to simple control logic. Power is consumed mainly during the switching. So our logic should be such that the switching requirement in the circuit is minimum we choosed Moore FSM for the control logic our Mealy FSM. Another care for switching we took was clear signal should not reset all the flip flop but just few flipflops necessary for controling. We have added one extra mux in each unit so at the time of reset all the flops should not necessarily switch to zero instead they where overwrittern. Stall signal is used to keep flip flop away from switching to reduce the power associated with the switching of clock nets. Special power scheme has been implemented dedicated to Chen and Fralick algorithm so as to further optimize the power requirements A. Low Power Scheme This scheme has the ability to dynamically scale down power based on operating conditions by using the least possible resources in the operating environment. Only in the worst case senario all the devices in the system will work else part of the devices in the system will work and other part will be shutted off. 1) Power Reduction for Row 1,2,3 Equation depicts row 1, row 2, row 3 of Chen and Fralick algorithm. If the second variable vector consists of all zeros than obviously the output will be zero. Moreover if all the variable vector consists of all ones then the output will be sum of constants in each rows which is zero for Row 1,2,3. So output will be zero if the vector consists of all ones or all zeros. All rights reserved by www.ijsrd.com 161

Example: C. Chen & Fralick vector generator This module will generate Chen and Fraclick vector shown below. All 8 columns of the bits which form the variable vector are shown. Each column of bits would be fed to LUT for decoding, summation, and weighting. After applying this power reduction scheme all those columns which are boxed will not be fed to LUT. Which will keep LUT away from glitching, adder from consuming power and flipflops linked to it for switching. 2) Power Reduction for Row 0,4,5,6,7 In each an every clock cycle one 8 bit vector will come in to the mux which is stored by the D flip flop in the next stage. On the eighth clock pulse of the counter all the eight vectors from flip flops will go in-to 4 X 1 MUX in such a way so as to generate 2 set of Fralick vector sum and diffrences are generated as per the requirements, than 9 bit 8 x 1 demux will pass all those vector one by one in every clock cycle. For the variable vectors which are multiplied with rows 0,4,5,6,7 of the matrix of equation shown below. Sum of the constants from these rows do not equal zero another approach of bit rejection is taken. As we know that sign extention of any number does not provide any information. So if the entire column of bits from the variable vector are part of same sign extension than that column will not be passed on the LUT structure for the computation. First two columns are extraneous sign extension bits and should be rejected by our power reduction scheme. Hence, first two columns will note be fed to LUT. Last column also consists of all one but it is not the part of the sign extension bit so they should not be rejected. Thus this is the more restricted form of the previous algorithm that would have rejected this bits. Fig. 5.2: Chen and Fralick vector generator B. Hardware Architecture Implementation of 2-D DCT is done here using row-column technique on the Chen and Fralick fast 1-D DCT algorithm. Desgin consists of different modules as shown in the figure. Fig. 5.3: Shifting input Fig. 5.1: DCT Hardware Architecture All rights reserved by www.ijsrd.com 162

Fig. 5.4: Generating Chen and Fralick Vector D. DA Pre Processor This module will split our vector in to 9 bits and combine all the 8 vector in the columnar way, which will be helpful in the power reduction process first 8 bits from all the 8 rows will go in terms of columns. We will pass last bit as it is as LSB will not be useful in sign bit and we can use same 8 bit standard hardware in every preprocessing step. One of the input of parallel DA implementation discussed in previous section is output of power reduction block it will switch of the corresponding DA block so as to reduce power. Pixel value required after processing to have the expected mean to zero is 127. If all the elements in the row is maximum i.e 127 the maximum possible product term is (127*8)*(23170/256) final output will be reaching maximum value to (127*8)*23170/65536. We can divide it by 2 to the power 16 to ignore 16 lsb. As shown in the figure we have Tranpose memory which is required to store intermediate Z coeeficients which stores the 64 coeeficients in the first 64 locations of the RAM, so the valid output at the adder is obtained at 15th clk. (one bit for input register + wight bit for SR + one bit for sub + one bit for comp. Signal + one bit for reg product + two bits for partial produtds addition) So the RAM is enabled at the 15th clk) Both rams are enabled and then data is written into the first ram for 64 clk cycles. It is written in each consecutive location. After writing data in all 64 locations first ram goes to read more and second go to write mode The cycle then repeats. Data is always writeen in consequetive locations. But it is read d in a different order. If data is assumed is written simultaneously in each row at a time, in an 8x8 matrix, than data is read each column at a time that is after reeading first data every eighth data sample is read out. Implementation of 2D DCT is same as the 1D-DCT implementation. DCT coefficient will be there from the first ram after 15 + 64 clk cycles. And second one after 79 th clock cycles Fig. 5.5: DA-Preprocessor Output of this DA-preprocessor is fed to power reduction algorithm block which will sense the bit-pattern and check weather power reduction is possible for this bit patter or not and if yes it will switch off the blocks accordingly so as to minimize output power. Fig. 5.6: DCT All rights reserved by www.ijsrd.com 163

Fig. 5.9: DCT-IDCT Fig. 5.10: Clk Reset Xin Fig. 5.7: Storing 1D DCT coefficient V. POWER REDUCTION Power reduction algorithm depicted in chapter 4 was applied to the chen and Fralick vector generator to reduce the power consumption. Performance of the both the multiplier less architecture was analyzed. At the architect level we might search new method to redesign transpose stage. This stage has half of the total number o f flip-flops so it accounts total half of the core size. As increase in power and area are proportionate on should do a research change the design so as to allow for inserting register array in transpose, so as to optimize size and power of the stage Area used by both the architecture in accordance to the slices is depicted in the below figure. Cordic Our algorithm 1D-DCT 750 520 2D-DCT 970 650 Fig. 5.8: Finding IDCT of given 12 bit DCT output so as to obtain the original pixel IDCT Is performed in same way as DCT waveforms. Total 1610 1170 Table 5.1: Area required by the algorithm Power consumed in mirco joule was calculated using Xilinx for both the method by using lena image as the input. w/0 power reduction With power reduction 1D-DCT 30 25 2D-DCT 54 40 Total 84 65 Table 5.2: Comparison of power consumption in micro joule Power consumption depends on the switching factors. To reduce the power consumption one should try to reduce the switching factors of the flip flop in the half stage. Instead of writing and then copying to register data the set of register should just be swapped once the sampling is completed. After than each flip flops with switch at very 128 cycles instead of 64, Which will reduce power consumption. All rights reserved by www.ijsrd.com 164

VI. CONCLUSION From the various orthogonal techniques DCT is best suited for image compression. Adds on hardware implementation of DCT will have advantage of hardware efficiency ad high throughput. Out of various available DCT algorithm we have choose Chen fralick algorithm with row column decomposition technique and DA architecture which is multiplier less. Various power awareness schemes are used at algorithmic level and architectural level so as to minimize power. We proposed a low power architecture for Discrete cosine transform for the image or video coders. We realized the power reduction by reducing the number of arithmetic operations, One dimensional DCT was decomposed into 2-input butterflies using chens algorithm. This paper proposed a low-power DCT architecture for image/video coders. Power reduction was realized by minimizing the number of arithmetic operations. In order to minimize the operations, the 1-D DCT was decomposed into 2-input butterflies using Chen s factorization; The total required number of operations for the 8x1 DCT was 56; it represents a reduction of 39% compared to the conventional algorithm. The power consumption of the proposed and the conventional multiplier less DCT were estimated using Xilinx Xpower tool for a Xilinx FPGA. The result showed that the proposed DCT architecture, requires 10% of the energy consumed by the conventional algorithm. The proposed architecture is expected to be useful in mobile multimedia applications. ProcessingMagazine, IEEE, vol.25, no.2, pp.21-30, March 2008. [9] Baraniuk, R.G., Compressive Sensing [Lecture Notes], Signal Processing Magazine, IEEE,vol.24, no.4, pp.118-121, July 2007. REFERENCES [1] A LOW POWER 2-D DCT CHIP DESIGN USING DIRECT 2-D ALGORITHM liang-gee chen, juing-ying jiu, hao-chieh chang, yung-pin lee, and chung-wei ku [2] A FAST COMPUTATIONAL ALGORITHM FOR THE DISCRETE COSINE TRANSFORM wen-hsiung chen, c. harrison smith, and s. c. fralick [3] Low Power Data-Dependent Transform Video and still Image coding PHD thesis T. Xanthopoulos, MIT feb 2010 [4] Quantifying and Enhancing Power awareness of VLSI System M. Bhardwaj, R.Min, A.P. Chandrakasan. [5] A COST-EFFECTIVE 8X8 2-D IDCT CORE PROCESSOR WITH FOLDED ARCHITECTURE thou-ho chen department of electronic engineering nan-tai institute of technology tainan, Taiwan. [6] MIT Information and Entropy Spring 2000. Website: http://wwwmtl.mit.edu/courses/6.095/spring- 00/unit3/dct.html [7] ANN: A library for ANN search, http://www.cs.umd.edu/mount/ann/, version 1.1.1, Au-gust 2006. [8] Candes, E.J.; Wakin, M.B., An Introduction To Compressive Sampling, Signal All rights reserved by www.ijsrd.com 165