Implementation of Automated Equipment Monitoring in a Highly Flexible Semiconductor Production Line. Semicon 2012, Dresden TechARENA

Similar documents
Implementing FDC in the Wafer Dicing Process to Improve Product Quality

FDC multivariate anomaly detection through SVM algorithm implementation Daniele Vinciguerra. APC/SPC Manager - STMicroelectronics

Managing the downturn, Ready for the Upswing

ISMI Industry Productivity Driver

FDC-Application with 1 khz sampling rate

Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture. Fisher Zhu, Director of China Marketing March 15, 2018

Technology & Manufacturing

SEMI Networking Day Italy

Stepper Fault Detection in TI s Freising Fab. Gernot Biese

I N V E S T O R S P R E S E N T A T I O N

Lockheed Martin Nanosystems

in the Semiconductor Industry

High Performance Mixed-Signal Solutions from Aeroflex

RENESAS INDUSTRIAL BUSINESS OVERVIEW

TLS-Dicing for concentrator dies - a fast and clean technology. Hans-Ulrich Zühlke

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

I N V E S T O R S P R E S E N T A T I O N

Why Quality Depends on Big Data

Prognostic/Diagnostic Health Management (PHM) System for FAB Efficiency

Known-Good-Die (KGD) Wafer-Level Packaging (WLP) Inspection Tutorial

Bringing 3D Integration to Packaging Mainstream

Drill-down Analysis with Equipment Health Monitoring

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

Modular Approach for High Volume Data

ErgoTech IOT Solutions. Using TransSECS, ErgoSIS, MIStudio and ErgoTech's Technology to Create Industrial IOT Solutions.

Smart Manufacturing in the Food & Beverage Industry

Go to ODM & OBM by Excellent Sensors

Feature Selection Technique to Improve Performance Prediction in a Wafer Fabrication Process

A Small Company with a Big Footprint! Company Overview

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Achieving & Sustaining Manufacturing Excellence with Model-Based Predictive Control (MPC)

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

Technology Platform Segmentation

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis

TD01 - Enabling Digital Transformation Through The Connected Enterprise

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Adaptive Test. 1.0 Adaptive Test definition

Interactive Gen2 Bridging the Gap between Passive RFID, Sensors and Electronics

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

Sampling Approaches to Metrology in Semiconductor Manufacturing

ABM UK. Integrated Facilities Solutions

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Flexible Organic LCD: From lab to fab to the next wave of products

Predicting Service Outage Using Machine Learning Techniques. HPE Innovation Center

Air Products Research Alliance

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

IC Testing and Development in Semiconductor Area

Cornell Dairy Foods Extension Program Syllabus High Temperature Short Time (HTST) Workshop

Smart Manufacturing and Standards: The NIST Role

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Silicon Labs Corporate Overview

system, control and robotics 2016/2017

Business update: Automotive

Raytheon s Strategic IT Energy and Resource Management Program

Strategy update: The growth story accelerates

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Enabling Smart Lighting for Smart Cities. How Cheen Ng 18 August 2017

TOWER SEMICONDUCTOR COMPLETES MERGER WITH JAZZ TECHNOLOGIES

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

AltiumLive 2017: Novel Thermal Analysis Tool for Altium Designer

Who will benefit from micro LEDs with new generation GaN-on-Si?

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Overview of IERC. Michael S. Kelleher Bord Gais Energy

ATI UK Strategy in Aerospace. Aerospace Technology Congress 2016

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

USING JMP TO AUTOMATE CAPACITY MODEL INPUT DERIVATION

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Cisco Crosswork Network Automation

Colocation Goes to the Edge

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Cisco Smart Grid. Powering End-to-End Communications. Annette Winston Sr. Mgr., Product Operations Customer Value Chain Management

Challenges and Trends on Microbolometer Design. Uwe Pulsfort Product & Applications Support, Europe

China and Global Semiconductor Industry Update and Outlook

Innovation and Growth in Hsinchu Science-based Industrial Park, Chinese Taipei

Lubricants in Industry 4.0

Kyocera Corporation Financial Presentation

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Oracle and Tangosol Acquisition Announcement

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000

Silicon Labs Corporate Overview

Compact Model Council

Implementation of AOI in a High-Volume Manufacturing Environment

Reaping the Benefits of Managed Services

Piper Jaffray Europe Conference London

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

FEATURES A low current consumption possible based on Panasonic s proprietary design. (Note: Product lineup includes: 1 A, 2 A, and 6 A sensors.

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

Real Time Contact Resistance Measurement & Control. Tony Schmitz Erwin Barret

Connecting an all-electric world. Intersolar Munich, June 20, 2018 Ralf Christian, CEO Siemens Energy Management Division

HIGH LEVEL DIALOGUE ON ASEAN ITALY ECONOMIC RELATIONS

Picking the Right SQL Database Part 1: Evaluating Database Offerings from Oracle, IBM and Microsoft

CREATIVE CHIPS GmbH. Facts Phone: / Fax: / Internet:

Your network s path to its fiber future. Grow confidently with fiber solutions from an experienced partner

Semiconductor Equipment

Transcription:

Implementation of Automated Equipment Monitoring in a Highly Flexible Semiconductor Production Line Semicon 2012, Dresden TechARENA Günter Leditzky October, 10th, 2012 ams AG

Agenda ams AG Overview Focus on Maintenance Vision for Equipment Monitoring Build Up Competency Implementation Use Cases Page 2

ams overview At a Glance: Focus on high performance analog ICs Ultra-low power, high accuracy, high integration design expertise Specialize in sensors & sensor interfaces, power management, and wireless solutions Analog IDM: combining design + best-in-class manufacturing By the Numbers: 300+ analog engineers Over 30 years of design experience Revenues of 276 million Euros in 2011 More than 1.200 employees worldwide Over 6,500 customers worldwide Page 3

Proven manufacturing model In-house capacity + partnerships: a scalable and robust growth model Wafer Manufacturing Specialty analog processes Best-in-class efficiency Zero-defect program Technology nodes: 0.18µm 0.35µm 0.8µm 200mm in-house fab Multi-source security: TSMC, UMC, IBM Assembly and Test In-house test in Austria and Philippines Multi-source assembly locations End-to-end fully integrated supply chain Page 4

Wafer Manufacturing 200mm Fab 0.35µm / 0.8µm CMOS & speciality processes Main contributors to Fab operation cost: - Depreciation - Facilities/utilities - Direct and indirect labor - Spares/parts/ maintenance subcontracting Mostly fixed costs. Little influence by management Can be tackled by Equipment Maintenance Equipment maintenance has high leverage on: Quality: prevent scrap, yield loss Throughput: optimize maintenance scheduling faster failure analysis and repair Cycle Time: consider future equipment downs for line scheduling Page 5

Vision for Equipment Monitoring MONITOR the Fab process equipment during wafer processing and DETECT abnormal behaviour before a damage to wafers or equipment occurs. Trigger action plans to PREVENT yield loss or reliability issues. Plan maintenance actions based on equipment condition = predictive maintenance. Implement Fault Detection and Classification (FDC) on all production equipment and prepare for predictive maintenance and virtual metrology Page 6

Equipment monitoring implementation (FDC) MES Mail Client workflow manager (OCAP management) Web Service FDC PCE PCE PCE CPCE centralized EDA Analysis Pass Through Automation Solution SECS/GEM, HSMS Eq 1 Eq 2 Eq x Real time monitoring is an autonomous unit for every equipment Page 7

Handling of Out of Control Events workflow manager 2 Out of control event 3 FDC detects violation Real time FDC 4 Hold lot MES 6 Send e-mail E-Mail client 1 Continuous raw data collection Eqp 5 Set lot on hold 7 HOLD LOT C12345.1 Reason: FDC Page 8

Equipment Maintenance? Learnings from our experience 1. Standardize work (no FDC required at all): It reduces equipment variability 2. Look into the black box equipment: we need to learn the correlation between process and equipment condition? 3. Do not (only) monitor data: We have to monitor equipment behaviour We have to transform data to information 4. Improve equipment operation and maintenance: Optimize processes Rework maintenance procedures and schedules Optimize equipment requlification Page 9

Build Up of Know How in the Team New Competences Required: - Statistics beyond SPC: explorative statistics, multivariate analysis, modelling - Data visualization and knowledge discovery - Deeper equipment understanding Cooperation with academic partners Cooperation with software solution provider Cooperation with other semiconductor manufacturers Cooperation with equipment manufacturer Maintenance and Process Engineers need strong support from Key FDC Engineers Page 10

Definition of Responsibilities Drive implementation of new methods and sustain Fab Management IT Production - Foremen - Operator Sustaining - Process Eng. - Maintenance Yield Enhancement & Process Integration Fab IT Responsibility: Production line control Equipment, single process steps Process technologies, yield Fab IT infrastructure, software applications Equipment Monitoring Modelling, Continuous improvement. Support Maintenance and Process Engineering Infrastructure and databases APC Core Team Page 11

Use Case 1: Metal CVD Monitoring the heater performance Start condition: Min(wafer_temperature) Stop condition: Max(wafer_temperature) Collect temporal data. Select parameter, set windows for indicator calulation Data reduction Time (seconds) Slope of Temperature Indicator: Slope of temperature in green window. One value per wafer. Wafer Index Page 12

Indicator 1 Multivariate Analysis LCL Two Correlated Indicators UCL Univariate SPC monitoring window Valid process regime Missed Alarms UCL False Alarms LCL Indicator 2 Page 13

T2 value Use Case 2: Oxide Etch Magnetic field failure effects contacts Multivariate analysis with excellent S/N ratio as implemented on etch equipment Univariate charts do not allow to identify fault Anomaly group T2 chart Principal Components Graph Page 14

Benefits Achieved results at ams 200 mm Fab: - Uptime improvement - Cycle time reduction - Reduction of excursions - Faster root cause analysis in case of failures Basis is set for continuous improvement Page 15

Acknowledgement Thanks to ams management, FDC team, equipment and process engineers and to our partners! Page 16

Thank you Please visit our website www.ams.com ams AG