Reconfigurable Computing. Design and implementation. Chapter 4.1

Similar documents
Reconfigurable Computing. Design and Implementation. Chapter 4.1

Organic Computing. Dr. rer. nat. Christophe Bobda Prof. Dr. Rolf Wanka Department of Computer Science 12 Hardware-Software-Co-Design

Programmable Logic Devices HDL-Based Design Flows CMPE 415

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

ESL design with the Agility Compiler for SystemC

Early Models in Silicon with SystemC synthesis

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

AL8253 Core Application Note

Digital Design Methodology

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Chapter 1 Overview of Digital Systems Design

Introduction to Field Programmable Gate Arrays

Don t expect to be able to write and debug your code during the lab session.

A Hardware / Software Co-Design System using Configurable Computing Technology

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Field Program mable Gate Arrays

Park Sung Chul. AE MentorGraphics Korea

INTRODUCTION TO FPGA ARCHITECTURE

FPGA: What? Why? Marco D. Santambrogio

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Digital Systems Design. System on a Programmable Chip

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

FPGAs in a Nutshell - Introduction to Embedded Systems-

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

IMPLEMENTATION DESIGN FLOW

Digital Design and Computer Architecture

What is Xilinx Design Language?

PREFACE. Changes to the SOPC Edition

Intro to System Generator. Objectives. After completing this module, you will be able to:

EN2911X: Reconfigurable Computing Lecture 01: Introduction

FPGA Based Digital Design Using Verilog HDL

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

The SOCks Design Platform. Johannes Grad

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Verilog Simulation Mapping

Lab 3 Verilog Simulation Mapping

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Open-Source tools for FPGA development

Digital Design with FPGAs. By Neeraj Kulkarni

CMPE 415 Programmable Logic Devices Introduction

Experiment 3. Digital Circuit Prototyping Using FPGAs

Hardware describing languages, high level tools and Synthesis

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Digital Systems Laboratory

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

AL8259 Core Application Note

Programmable Logic Devices

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

Field Programmable Gate Array

ECC1 Core. Elliptic Curve Point Multiply and Verify Core. General Description. Key Features. Applications. Symbol

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications

Lorenz Kolb, Missing Link Electronics

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure

EE 4755 Digital Design Using Hardware Description Languages

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

FPGA Design Flow 1. All About FPGA

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Introduction. In this exercise you will:

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

Cost Functions for the Design of Dynamically Reconfigurable Processor Architectures

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna

Design and Verification of FPGA Applications

Design of Convolution Encoder and Reconfigurable Viterbi Decoder

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

INTRODUCTION TO CATAPULT C

FPGA design with National Instuments

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

COE 561 Digital System Design & Synthesis Introduction

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

Spiral 2-8. Cell Layout

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Performance/Cost trade-off evaluation for the DCT implementation on the Dynamically Reconfigurable Processor

EE 4755 Digital Design Using Hardware Description Languages

The Xilinx XC6200 chip, the software tools and the board development tools

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design.

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Evolution of CAD Tools & Verilog HDL Definition

I 2 C Bus Interface - Slave ver 3.08

Modeling HDL components for FPGAs in control applications

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design.

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Employing Multi-FPGA Debug Techniques

Digital Integrated Circuits

C-Based Hardware Design

Reconfigurable Hardware Design (coursework)

ELEC 204 Digital System Design LABORATORY MANUAL

Transcription:

Reconfigurable Computing Design and implementation Chapter 4.1 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing

In System Integration Reconfigurable Computing 2

System Integration Rapid Prototyping Reconfigurable devices (RD) are usually used in three different ways: 1. Rapid Prototyping: The RD is used as emulator for a circuit to be produced later as an ASIC. The emulation process allows for testing the correctness of the circuit, sometimes under real operating conditions before production. APTIX System Explorer The APTIX-System Explorer and the ITALTEL Flexbench systems are two examples of emulation platforms. ITALTEL FLEXBENCH Reconfigurable Computing 3

System Integration Non Frequent reconfiguration 2. Non-frequently reconfigurable systems: The RD is used as application-specific device similar to an ASIC. However, the possibility of upgrading the system by means of reconfiguration is given. Such systems are used as prototyping platform, but can be used as running environment as well. The Nallatech BenADIC Examples are: The RABBIT System, the Celoxica RC100, RC200, RC300, the Nallatech BenADIC. The Celoxica RC200 Reconfigurable Computing 4

System Integration Frequent reconfiguration 3. Frequently reconfigurable systems: Usually coupled with a processor, the RD is used as an accelerator for time-critical parts of applications. The processor accesses the RD using function calls. The reconfigurable part is usually a PCIboard attached to the PCI-bus. The communication is useful for configuration and data exchange. Examples are the Raptor 2000, the Celoxica RC1000 and RC2000, the Nallatech Ballynuey. More and more stand-alone frequently reconfigurable systems are appearing. The Celoxica RC1000 The Raptor 2000 The Nallatech Ballynuey Reconfigurable Computing 5

System Integration Static and dynamic Reconfiguration The three ways of using a reconfigurable systems can be classified in two big categories: 1. Statically reconfigurable systems. The computation and reconfiguration is defined once at compile-time. This category encounters the rapid prototyping systems, the non-frequently reconfigurable systems as well as some frequently reconfigurable systems. 2. Dynamically or run-time reconfigurable systems. The computation and reconfiguration sequences are not known at compile-time. The system reacts dynamically at run-time to computation and therefore, to reconfiguration requests. Some nonfrequently reconfigurable systems as well as most frequently reconfigurable systems belong to this category. Reconfigurable Computing 6

System Integration Computation flow The computation in a reconfigurable system is usually done according to the figure aside. The processor controls the complete system. 1) It first downloads data to be computed by the RD memory to the RD memory. 2) Then, the RD is configured to perform a given function over a period of time. 3) The start signal is given to the RD to start computation. At this time, the processor also computes its data segment in parallel to the RD. Reconfigurable Computing 7

System Integration Computation flow 4) Upon completion, the RD acknowledges the processor. 5) The processor collects the computed data from the RD memory. If many reconfigurations have to be done, then some of the steps from 1) to 5) should be reiterated according to the application's need. A barrier synchronisation mechanism is usually used between the processor and the RD. Blocking access should also be used for the memory access between the two devices. Reconfigurable Computing 8

System Integration Computation flow Devices like the Xilinx Virtex II/II-Pro and the Altera Excalibur feature one or more soft or hard-macro processors. Therefore, the complete system can be integrated in only one device. The reconfiguration process can be: Full: The complete device has to be reconfigured. (Operation interruption occurs) Partial: Only part of the device is configured while the rest keeps running. Reconfigurable Computing 9

System Integration Computation flow For a dynamically reconfigurable system with only full reconfiguration capabilities, functions to be downloaded at run-time are developed and stored in a database. No geometrical constraint restrictions are required for the function. Services task 1 task 2 task N Task Request For a stand alone system with partial reconfiguration capabilities, modules represented as rectangular boxes are precomputed and stored in memory. During relocation, the modules are assigned to a position on the device at run-time O.S. Module Database M1 M4 M2 M3 Scheduler Placer T 2 In both cases, modules to be downloaded at run-time are digital circuit modules which are developed according to digital circuit design rules T T N 1 Reconfigurable Device Reconfigurable Computing 10

Design Flow Reconfigurable Computing 11

Design Flow Hardware/Software partitioning The implementation of a reconfigurable system is a Hardware/Software Co-Design process which determines: The software part, that is the codesegment to be executed on the processor. The development is done in a software language with common tools. We will not pay much attention to this part. The hardware part, that is the part to be executed on the RD. This is the focus of this section. The interface between software and hardware. Software C, C++, Java etc... Interface Hardware VHDL, Verilog HandelC, etc.. Reconfigurable Computing 12

Design Flow Coarse-grained RC The implementation of a coarse-grained RD is done with vendor-specific languages and tools. This is usually a C- like language with the corresponding behavioral or structural compilers. For the coarse-grained architectures presented in the previous chapter, the languages and tools are summarised in the table below. Manufacturer Language Tool Description PACT-XPP NML (Structural) XPP-VC C -> NML ->configuration format Quicksilver ACM Silver C InSpire SDK C -> SilverC ->configuration format NEC DRP C DRP Compiler C -> configuration format IPFLEX DAP/DNA C/Matlab DAP/DNA FW C/Matlab -> configuration format PicoChip C PicoChip Toolchain C -> configuration format Reconfigurable Computing 13

Design Flow FPGA The implementation flow of an FPGA design is shown. It is a modified ASIC design flow divided into 5 steps. The steps (design entry, functional simulation, place and route) are the same for almost all digital circuits. Therefore, they will be presented only briefly. In the technology mapping step, the FPGA-synthesis differs from other synthesis processes. We will therefore consider some details of FPGA-synthesis, in particular the LUT-technology mapping which is proper to FPGAs. Reconfigurable Computing 14

Design Flow FPGA - Design entry The design entry can be done with A schematic editor: Schematic description is done by selecting components from a (target device) and graphically connecting them together to build complex modules. Finite State Machines (FSM) can also be entered graphically or as a table. Drawback: Only structural description of circuits. Behavioral description is not possible A Hardware Description Language (HDL): allows for structural as well as behavioral description of complex circuits. The behavioral description is useful for designs containing loops, Bit-vectors, ADT, FSMs. The structural description emphasizes the hierarchy in a given design. Reconfigurable Computing 15

Design Flow FPGA - Design entry After the design entry, functional simulation is used to logically test the functionality of the design. A testbench provides the design under test with inputs for which the reaction of the design is known. The outputs of the circuit are observed on a waveform and compared to the expected values. For simulation purpose, many operations can be used (mod, div, etc...) in the design. However, only part of the code which is used for simulation can be synthesized later. The mostly used HDLs are: VHDL (behavioral, structural) Verilog (behavioral, structural) Some C/C++-like languages (SystemC, HandelC, etc...) Reconfigurable Computing 16

Design Flow FPGA Synthesis The design is compiled and optimized. All non-synthesizable data types and operations must be replaced by equivalent synthesizable code. The design is first translated into a set of Boolean equations which are then minimized. Technology mapping is used to assign the functional modules to library elements. The technology mapping on FPGAs is called LUTtechnology mapping. The result of the technology mapping is a netlist which provides a list of components used in the circuit as well as their interconnections. There exist many formats to describe a netlist. The most popular is the EDIF (Electronic Design Interchange Format). Reconfigurable Computing 17

Design Flow FPGA Place and route The Netlist provides only information about the components and their interconnections in a given design. The place and route must be used to: Assign locations to the components. Provide communication paths to the interconnections. The place and route steps are optimization problems for which some cost must be minimized. The most important factors are: The clock frequency. The signal latency. The routing congestion. Reconfigurable Computing 18

Design Flow FPGA Configuration bitstream The last step in the design process is the generation of the configuration stream also known as bitstream. It describes: The value of each LUT, that is the set of bits used to configure the function of a LUT. The interconnection configuration describes: The inputs and outputs of the LUTs The value of the multiplexers how the switches should be set in the interconnection matrix All information regarding the functionality of LUTs, multiplexers and switches are available after the place and route step. Reconfigurable Computing 19

Design Flow FPGA Example Exercise: Implement a Modulo 10- counter on a symmetrical FPGA with 2x2 Logic Blocks (LB). The structure of a LB is given in the picture aside. It consists of: 2 2-inputs LUTs 2 edge-triggered T-Flipflops The goal is to minimize area latency LUT LUT Clk Reconfigurable Computing 20

Design Flow FPGA Example Truth table of the modulo 10 counter. z describe the states while T describe the inputs of the s Karnaugh-minimization of the functions T 1, T 2, T 3, and T 4 Reconfigurable Computing 21

Design Flow FPGA Example z 1 T 2 z z 1 z 4 4 I 1 T I 1 I 2 4 I 2 z 1 z 1 T 3 1 1 z z 1 z 2 2 1 Clk Clk I 1 z z 1 z 4 T 1 1 4 T 2 z 1 z 4 T 1 1 3 Common product term T 3 I 2 z T 3 3 z 3 2 T 3 z 1 z 2 T 4 z 1 z 4 z 1 z 2 z 3 Clk Reconfigurable Computing 22

Design Flow FPGA - Example z ' 2 z 1 z 4 T 2 z 2 z 1 z 2 T 3 Clk 1 Clk 3 z ' 3 z 1 I 1 z z 1 z 4 4 I 1 I 2 I 1 I 2 T 4 z ' 4 I 2 1 z T 1 3 3 z 3 1 Clk T 1 2 4 Clk z ' 1 Reconfigurable Computing 23