Key technologies for many core architectures

Similar documents
MPSOC Architectures for Computing for Imaging. Thierry Collette, Ph.D. CEA LIST Head of Architecture and Design Department

System Level Design with IBM PowerPC Models

Programmable Logic Devices HDL-Based Design Flows CMPE 415

EEL 5722C Field-Programmable Gate Array Design

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

FPGA Based Digital Design Using Verilog HDL

Hardware Description Languages. Introduction to VHDL

Hardware Design and Simulation for Verification

An introduction to CoCentric

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs

Evolution of CAD Tools & Verilog HDL Definition

Hardware Software Codesign of Embedded Systems

Cosimulation II. Cosimulation Approaches

EITF35: Introduction to Structured VLSI Design

Embedded Systems. 7. System Components

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

VLSI Design Automation

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015.

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

Hardware/Software Co-design

Early Models in Silicon with SystemC synthesis

Cosimulation II. How to cosimulate?

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

CMPE 415 Programmable Logic Devices Introduction

ECE 699: Lecture 12. Introduction to High-Level Synthesis

Christophe HURIAUX. Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Energy scalability and the RESUME scalable video codec

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

FPGA design with National Instuments

Design and Verification of FPGA Applications

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

ArchC: A SystemC Based Architecture Description Language

Hardware-Software Codesign. 1. Introduction

VLSI Design Automation

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Park Sung Chul. AE MentorGraphics Korea

Hardware-Software Codesign

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

Distributed Vision Processing in Smart Camera Networks

VLSI Design Automation. Maurizio Palesi

ICS 252 Introduction to Computer Design

Ten Reasons to Optimize a Processor

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

Dr. Yassine Hariri CMC Microsystems

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

What is Xilinx Design Language?

ESL design with the Agility Compiler for SystemC

The SOCks Design Platform. Johannes Grad

Accelerator Spectrum

First To Market through Translation of Executable UML

Overview of SOC Architecture design

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Chapter 1 Overview of Digital Systems Design

FPGA Design Flow 1. All About FPGA

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Long Term Trends for Embedded System Design

Fast FPGA Routing Approach Using Stochestic Architecture

Higher Level Programming Abstractions for FPGAs using OpenCL

Shortest path to the lab. Real-world verification. Probes provide observability

TITLE BYLINE SYNONYMS DEFINITION DISCUSSION. Historical view. System on Chip

SystemC, OCCN and VPs. Integrated Systems Development NoC Round Table, ESTEC 17 September 2009

Reconfigurable Cell Array for DSP Applications

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

Design methodology for multi processor systems design on regular platforms

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

Embedded Systems CS - ES

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

MPSoC Design Space Exploration Framework

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

HW & SW co-verification of baseband HSPA Processor with Seamless PSP

DSPACE: LISA Methodology

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Model homogenization for power estimation and design exploration

Research Challenges for FPGAs

Adaptable Intelligence The Next Computing Era

HW / SW Implementation Overview (0A) Young Won Lim 7/16/16

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Platform-based Design

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trends and Challenges in Multicore Programming

This presentation covers Gen Z coherency operations and semantics.

Model-Based Design for Video/Image Processing Applications

Modeling a 4G LTE System in MATLAB

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Liquid Computing: The Delft Reconfigurable VLIW Processor

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

Hardware Software Codesign of Embedded System

Transcription:

Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance Moore law Now! Low power performance 250 nm 180 nm 135 nm 90 nm 65 nm 45 nm 32 nm Embedded Reliability Technology becomes unreliable Applications more en more complex become control centric Technology evolution 2 1

Temporal acceleration Performance ASIC Dedicated Computing CG RC Reconfigurable Computing FPGA DSP Programmable Computing GPP Flexibility 3 A new area : The Spatial acceleration www.ambric.com www.tilera.com Performance ASIC Dedicated Computing www.picoc hip.com MPSoC & ManyCore CG RC Architectures Reconfigurable Computing FPGA www.intel.c om www.st.com DSP Programmable Computing GPP Flexibility 4 2

Programming languages Courtesy VDC (Multicore expo 2008) 5 Need of new Programming models? Courtesy, Bill Thies, MIT 6 3

New execution model for manycore architectures More than 100 proce ssor architecture involve : New programming model for the users in order to express the parallelism ; Deterministic and dependable architecture. 2 majors consequence s : On the SW tool part : Code generation tools have to produce code for processing, communication, memorization and control ; Synthesis of embedded OS. On the Architecture part : The control part is more and more important and complexe ; Management of matrix of elements with compromises. 7 Key technologies for manycore architectures (1) Architecture definition : Definition and validation of the architecture and its execution model : Heterogeneous architecture or Homogeneous architecture? Distributed memory, shared memory or both? Which topology? Task management policy : Fully dynamic, fully static, self-time or Static assignment scheduling? Centralized or distributed? Energy and reliability aware architectures. 8 4

Key technologies for manycore architectures (2) Software tools The complexity and the efficiency depend on the programming language ; As for FPGA, Mapping tools, Placing Tools and Routing Tools ; More the scheduling is complexe, «simpler» are the tools (analogy with VLIW processors and the Superscalar processors). Embedded system Software If the mapping, place and route are not done during the compilation, they have to be executed during the run-time, Processing, Memorisation and communication must be ensured at the run time, Energy and reliability management. 9 Key technologies for manycore architectures (3) Architecture design Topology design (Organisation of the matrix of processors, Memory Mapping, Communication supports, I/O, ) ; Design of the support for embedded system SW (SW/HW tradeoff, centric vs distributed, etc) ; Design of a power and reliability awared architecture. Component design Manycore is possible on advanced technology (ie 65 ns or less) ; Design of low power elements (Processor for instance) ; Design of a component of more than 100 processor. 10 5

MPPA TM * solution (1) Is a component easy to used : The user ignore the architecture (ex : the number of processors) ; Programming language based on C able to express to parallelism : Think parallel, Easy to used, Predictability. Offers transparent access to the matrix of cores : Software toolchain Application code generation and embedded system software code generation, Dynamic and Dependable computing. * Multi Purpose Processor Array 11 MPPA TM solution (2) Offers high computing performance, low power, reliability and dependability management : Advanced execution model (TLP, control, ) Able to cope with the next generations of technologies Embedded diagnosis : Static for computing for manufacturing, Online for Self Healing computing. 12 6

MPPA TM component Not multi, but many processors : up to 100 Trans parent scalability Dependability and real time with a advanced execution model and the synthesis of services Advanced embedded OS for the management of performance, energy and reliability SW/HW & centralised/distributed solutions. Reliability within a homogeneous platform Advanced I/O management inside the component Many I/O Real time management 13 Architecture environment development Processor modeling and integration Architecture Exploration Archc 2.0 Mixed HDL Functional or CABA ISS Mips, Sparcv8 MPSoC Modeling Run-time configurable Memory hierarchy Interconnection (Amba, NoC ) HW Schedulers Heterogeneous exploration SCMP Platform MPSoC Simulation Eclipse based framework Modelsim Assembler and linker Graphical output debug Up to 3 MIPS (8 Mips 24Ke) Multi-level modeling SystemC C/C++ TLM, Timed TLM, RTL Cosimulation VHDL / Verilog 14 7

Conclusions From matrix of gates or CLB to a matrix of Processors (Many more than multi) ; Performance, low power, reliability and dependability; Generation of management services inside the component ; Computation, memorization and communication (int&ext) ; Parallel and reconfigurable computing on unreliable technology : Adaptative computing, Self healing computing. 15 8