ECE 156A - Syllabus. Description

Similar documents
Digital Integrated Circuits

Fundamentals of Digital System Design ECE 3700, CPSC 3700

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

CASPER COLLEGE COURSE SYLLABUS MSFT 1600 Managing Microsoft Exchange Server 2003 Semester/Year: Fall 2007

Verilog for High Performance

ET285 Digital Electronics II [Onsite]

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

VHDL for Synthesis. Course Description. Course Duration. Goals

WELCOME TO. ENGR 303 Introduction to Logic Design. Hello my name is Dr. Chuck Brown

ECE 241F Digital Systems

Textbook(s) and other required material: Raghu Ramakrishnan & Johannes Gehrke, Database Management Systems, Third edition, McGraw Hill, 2003.

Digital System Design with SystemVerilog

Introduction to Databases Fall-Winter 2010/11. Syllabus

CSc 2310 Principles of Programming (Java) Jyoti Islam

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing

FPGA for Software Engineers

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Announcements. 1. Forms to return today after class:

Outline. Computer Science 331. Course Information. Assessment. Contact Information Assessment. Introduction to CPSC 331

LOGIC DESIGN. Dr. Mahmoud Abo_elfetouh

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

ESET 369 Embedded Systems Software, Fall 2017

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Course Syllabus of Microprocessor I (Fall 2006)

Lecture #1: Introduction

(ii) Simplify and implement the following SOP function using NOR gates:

PINE TRAINING ACADEMY

CS 378 (Spring 2003) Linux Kernel Programming. Yongguang Zhang. Copyright 2003, Yongguang Zhang

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

CSC 111 Introduction to Computer Science (Section C)

EE178 Spring 2018 Lecture Module 1. Eric Crabill

TCOM 663/CFRS Intrusion Detection and Forensics Department of Electrical and Computer Engineering George Mason University Fall, 2010

World Class Verilog & SystemVerilog Training

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Computer Science Technology Department

Beijing Jiaotong University CS-23: C++ Programming Summer, 2019 Course Syllabus

Practical Programming Methodology

San José State University Computer Science Department CS49J, Section 3, Programming in Java, Fall 2015

Verilog Module 1 Introduction and Combinational Logic

Data Communications & Computer Networks

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Welcome. Orientation to online CPS102 Computer Science 2 (Java 2)

Central Washington University Department of Computer Science Course Syllabus

Linear Algebra Math 203 section 003 Fall 2018

EE3315 Internet Technology EE3315 Internet Technology Overview Slide 1

I 3 I 2. ! Language of logic design " Logic optimization, state, timing, CAD tools

Last Name Student Number. Last Name Student Number

COLLEGE OF DUPAGE CIS 2542 Advanced C++ with Data Structure Applications Course Syllabus

COMP3221: Microprocessors and. Embedded Systems

CS535: Interactive Computer Graphics

CNT 4004: Computer Networks I. Tentative Syllabus

Columbia Univerity Department of Electrical Engineering Fall, 2004

Course Syllabus - CNT 4703 Design and Implementation of Computer Communication Networks Fall 2011

CSCI 201L Syllabus Principles of Software Development Spring 2018

Introduction to Computer Systems

Introduction to Computer Systems

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

ECE 4450:427/527 - Computer Networks

CS/IT 114 Introduction to Java, Part 1 FALL 2016 CLASS 14: OCT. 25TH INSTRUCTOR: JIAYIN WANG

CSci 4211: Introduction to Computer Networks. Time: Monday and Wednesday 2:30 to 3:45 pm Location: Smith Hall 231 Fall 2018, 3 Credits

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

CprE 281: Digital Logic

Digital Electronics

Computer Systems Organization

EE 434 ASIC & Digital Systems

ESET 369 Embedded Systems Software, Spring 2018

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

CSCD18: Computer Graphics. Instructor: Leonid Sigal

College Algebra. Cartesian Coordinates and Graphs. Dr. Nguyen August 22, Department of Mathematics UK

Compilers for Modern Architectures Course Syllabus, Spring 2015

ECE 551: Digital System *

ECE Mixed-Signal Design and Modeling Course Syllabus Fall 2017

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

CSE 504: Compiler Design

ECE 448 FPGA and ASIC Design with VHDL. Spring 2018

Outline. Intro. Week 1, Fri Jan 4. What is CG used for? What is Computer Graphics? University of British Columbia CPSC 314 Computer Graphics Jan 2013

Intro. Week 1, Fri Jan 4

COMPUTER ARCHTECTURE

CMPT 126: Introduction to Computing Science and Programming Fall 2007, Harbour Centre

Programming 1. Outline (111) Lecture 0. Important Information. Lecture Protocol. Subject Overview. General Overview.

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

EECE 321: Computer Organization

Department of Computer Science and Engineering Khulna University of Engineering & Technology Khulna , Bangladesh. Course Plan/Profile

San José State University Department of Computer Science CS151, Section 04 Object Oriented Design Spring 2018

Rochester Institute of Technology Golisano College of Computing and Information Sciences Department of Information Sciences and Technologies

Digital Logic Design Lab

CHEM 31A (90285): General Chemistry Fall 2013

CS 241 Data Organization using C

CMPS 182: Introduction to Database Management Systems. Instructor: David Martin TA: Avi Kaushik. Syllabus

CSE140L: Components and Design Techniques for Digital Systems Lab

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

A: 90% - 100% B: 80% - <90% C: 70% - <80% D: 60% - <70% F: < 60% Important Dates:

CS 241 Data Organization. August 21, 2018

BOSTON UNIVERSITY Metropolitan College MET CS342 Data Structures with Java Dr. V.Shtern (Fall 2011) Course Syllabus

: : (91-44) (Office) (91-44) (Residence)

FSM-based Digital Design using Veriiog HDL

CS-3410 Systems Programming Spring 2013

Transcription:

ECE 156A - Syllabus Lecture 0 ECE 156A 1 Description Introduction to HDL (Hardware Description Language) basic elements, HDL simulation concepts, HDL concurrent statements with examples and applications, writing HDL for synthesis, and writing HDL for finite state machines. In this course, we will teach Verilog HDL. You will learn the design techniques and methodologies employed in the industry. This course prepares you to be a logic designer or a verification engineer ECE 156A 2 1

Prerequisites ECE 152A Digital Design Principles You should be familiar with the material covered in ECE 152A such as boolean algebra, switching functions, application of Boolean algebra to the design and analysis of combinational logic nets, minimization procedures, analysis and synthesis of sequential switching circuits, synchronous and asynchronous operation, state minimization, hazards, and races. ECE 156A 3 152A Outline (Johnson) Digital Design Principles Boolean Algebra Karnaugh Maps Propagation Delay, Adder Design Combinational Logic Design with Verilog Latches, Flip-Flop, Counters Sequential Circuit Design Sequential Circuit Design with Verilog Mealy and Moore Machine Finite State Recognizers Controller Design Machine Minimization RAM and ROM based Design Combinational Building Blocks and Bus ECE 156A 4 2

Textbooks Advanced Digital Design with the Verilog(TM) HDL, by Michael D. Ciletti, Prentice Hall, 2 nd edition, 2010 Logic Synthesis and Verification Algorithms, by Gary D. Hachtel and Fabio Somenzi, Springer; 1 edition (February 10, 2006), ISBN 0387310045 Not absolutely required But this is an excellent book to learn about the foundation of Synthesis processes Also used for 156B ECE 156A 5 Verilog Tool For Verilog simulation, we recommend you to use Mentor Graphics ModelSim in the ECI lab Get an account from ECI lab Login from home with window display Very handy for experienced user ECE 156A 6 3

Using Design Compiler You can use any Verilog simulator to check the correctness of your Verilog code But if there is any discrepancy, we will use ModelSim as the golden reference for correctness Later in the quarter, I will introduce you the synthesis tool, Design Compiler (DC) There will be 1 or more exercises using DC You will need an account at the ECI lab Please open an account at the ECI lab if you haven t had one TAs will give a tutorial on DC later ECE 156A 7 Web Site My home http://mtv.ece.ucsb.edu/ Course web page http://mtv.ece.ucsb.edu/courses/ece156a_14/ No need for Username and Password The update of course web page may be delayed from time to time. Please be patient. TAs will also run a separate Google group ECE 156A 8 4

Grading Homework and Programming Assignments 40% About 6-7 of them Entirely controlled and graded by TAs Two Exams In-class Mid-term : 25%, Nov 5th, Wednesday Final : 35% (as scheduled by the school) Both are closed-book exams No exception on exam times and formats ECE 156A 9 Special Schedule Attending conference October 20, 22 Classes be taught by Instructor Sebastian Siatkowski Instruction ends on December 10 (Wed) I will be out of town during Dec 12-16 TAs will be there for the final exam ECE 156A 10 5

TAs and Lab sessions 13730 Lab R 10-11:50am Matthew Nero (2012 156A class) mrnero@umail.ucsb.edu Office hour: TTH 5-7pm 13748 Lab R 5-7:50pm Ahmed Wahba awahba@umail.ucsb.edu Office hour: Comm. through Google group 13755 Lab T 5-7:50pm Jay Shan (2012 156A class) jayshans@hotmail.com Office hour: MW 3-5pm My office hour after class and by appointment ECE 156A 11 Lab sessions and grading One lab session for every HW assignment TA will instruct you about the lab session We don t have lab session every week Homework grading Turn in your HW to your TA Your TA will grade them Discussion group Your TA will send you an email about the group ECE 156A 12 6

Intended Course Outline Overview of design methodology (Chap 1) Self-review: Chap 2 and Chap 3 Introduction to Verilog (Chap 4) Behavior modeling (Chap 5) Introduction to synthesis (Chap 6) 2-level logic systhesis (2 nd textbook) Traditional method Complete sum method Solving constraint matrix problem Functional verification Postsynthesis design task (Chap 11) Static timing analysis ECE 156A 13 Grade Example (2013) 100.0 90.0 80.0 70.0 60.0 50.0 40.0 30.0 20.0 10.0 0.0 A+/A 1 5 9 1317212529333741454953576165697377 ECE 156A 14 7

Five Questions Why am I here? What do I expect to learn? What am I going to do next year? How can being here help me? What do I not understand? ECE 156A 15 My Perspective You can learn many techniques But techniques change (quickly) over time You can learn one fundamental idea And the idea never change The reason that you are learning those techniques is so that you can understand the fundamental idea So the idea becomes your intuition ECE 156A 16 8

10 Ideas We Might Learn 10 Design means constrained optimization 9 Design is based on models and models are not reality and they are never 100% correct 8 No perfect design; you just need to be better than your competitor 7 It is all about efficiently handling the complexity 6 More important to put yourself into the right vision and perspective 5 When searching for a solution in a complex space, it is more effective to know where you don t have a solution ECE 156A 17 Cont. 4 Understand your problem well, then the solution will come to you 3 When something does not make an intuitive sense, it probably does not make sense 2 All practical answers are simple; Only simple answers are practical 1 There is no difficult problem; If you find it difficult, the difficulty is only reflecting something about yourself ECE 156A 18 9