SRC 3D Summit. Bob Patti, CTO

Similar documents
3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Stacking Untested Wafers to Improve Yield. The 3D Enigma

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Bringing 3D Integration to Packaging Mainstream

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Xilinx SSI Technology Concept to Silicon Development Overview

Advancing high performance heterogeneous integration through die stacking

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Burn-in & Test Socket Workshop

A Design Tradeoff Study with Monolithic 3D Integration

The Memory Hierarchy 1

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Design Methodologies and Tools. Full-Custom Design

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

An Overview of Standard Cell Based Digital VLSI Design

Near-Threshold Computing: Reclaiming Moore s Law

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

CircuitsMulti-Projets

The Design of the KiloCore Chip

Moore s s Law, 40 years and Counting

Circuits Multi Projets

FABRICATION TECHNOLOGIES

Multi-Die Packaging How Ready Are We?

AMchip architecture & design

Design Methodologies. Full-Custom Design

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

TechSearch International, Inc.

High performance HBM Known Good Stack Testing

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Advanced Packaging For Mobile and Growth Products

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Calibrating Achievable Design GSRC Annual Review June 9, 2002

The Processor That Don't Cost a Thing

Advanced Information Storage 11

Chapter 0 Introduction

ASIC Physical Design Top-Level Chip Layout

Non-contact Test at Advanced Process Nodes

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

IMEC CORE CMOS P. MARCHAL

KiloCore: A 32 nm 1000-Processor Array

Future Memories. Jim Handy OBJECTIVE ANALYSIS

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

Interposer Technology: Past, Now, and Future

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

UCLA 3D research started in 2002 under DARPA with CFDRC

Spiral 2-8. Cell Layout

Paul Franzon. Department of Electrical and Computer Engineering

Current status of SOI / MPU and ASIC development for space

Additional Slides for Lecture 17. EE 271 Lecture 17

WaferBoard Rapid Prototyping

TechSearch International, Inc.

Memory in Digital Systems

The communication bottleneck

technology Leadership

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

On GPU Bus Power Reduction with 3D IC Technologies

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

All Programmable: from Silicon to System

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Chip/Package/Board Design Flow

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

Chapter 5: ASICs Vs. PLDs

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

3-Dimensional (3D) ICs: A Survey

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

TSBCD025 High Voltage 0.25 mm BCDMOS

Paradigms Shifts in CMP

Technologies and Tools for µe design

Technology Platform Segmentation

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

3D Technologies For Low Power Integrated Circuits

Embedded Memory Alternatives

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Stacked Silicon Interconnect Technology (SSIT)

Centip3De: A 64-Core, 3D Stacked, Near-Threshold System

ENEE 759H, Spring 2005 Memory Systems: Architecture and

Design Methodologies

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Advanced Heterogeneous Solutions for System Integration

MEMORIES. Memories. EEC 116, B. Baas 3

Industry Trends in 3D and Advanced Packaging

Transcription:

SRC 3D Summit Bob Patti, CTO rpatti@tezzaron.com 1

Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm 28nm 22nm 16nm 2

How Real is 3D??? 560μ Samsung 16Gb NAND flash (2Gx8 chips), Wide Bus DRAM Micron Wide Bus DRAM Intel CPU + memory OKI CMOS Sensor Xilinx 4 die 65nm interposer Raytheon/Ziptronix PIN Detector Device IBM RF Silicon Circuit Board / TSV Logic & Analog Toshiba 3D NAND 3

3D Stacking Approaches Chip Level Device Level Wafer Level Ziptronix Vertical Circuits Elpida/Micron/Samsung Amkor : 4S CSP (MCP) Stanford Besang Sandisk: Vertical TFT Infineon/IBM RPI ZyCube Tezzaron Irvine Sensors : Stacked Flash Samsung : Stacked Flash 4

Span of 3D Integration Packaging Analog Flash DRAM DRAM CPU 3D Through Via Chip Stack IBM/Samsung 3D-ICs 100-1,000,000/sqmm 1000-10M Interconnects/device CMOS 3D Wafer Fab IBM 1s/sqmm Peripheral I/O Flash, DRAM CMOS Sensors 100,000,000s/sqmm Transistor to Transistor Ultimate goal 5

A Closer Look at Wafer-Level Stacking Oxide Silicon Dielectric(SiO2/SiN) Gate Poly STI (Shallow Trench Isolation) W (Tungsten contact & via) Al (M1 M5) Cu (M6, Top Metal) Super-Contact 6

Next, Stack a Second Wafer & Thin: 7

Stacking Process Sequential Picture Two wafer Align & Bond Course Grinded Fine Grinded High Precision Alignment Misalign=0.3um After CMP Si Recessed Top wafer Bottom wafer 8

Then, Stack a Third Wafer: 3rd wafer 2nd wafer 1st wafer: controller 9

Finally, Flip, Thin & Pad Out: 1st wafer: controller 2nd wafer This is the completed stack! 3rd wafer 10

3 rd Si thinned to 5.5um 2 nd Si thinned to 5.5um SiO 2 1 st Si bottom supporting wafer 11

3D Interconnect Characteristics SuperContact TM SuperContact TM SuperContact TM SuperContact TM Bond Points I II III 4 200mm 300mm 200mm 200mm Via First, FEOL Via First, FEOL Via First, FEOL Via First, FEOL Size 1.2 X 1.2 1.6 X 1.6 0.85 X 0.85 0.40 X 0.40 1.7 X 1.7 L X W X D X 6.0 X 10.0 X 10 X 2 Cu Material W in Bulk W in Bulk W in Bulk W in SOI Minimum Pitch <2.5 <3.2 1.75 0.8 2.4 (1.1 Feedthrough Capacitance 2-3fF 6fF 3fF 0.2fF << Series Resistance <1.5 <1.8 <3 <1.5 < 12

Relative TSV Size 13

Pitch and Interconnect SuperContact TM is 500f 2 (including spacing) Face to face is 350f 2 (including spacing) Chip on wafer I/O pitch is 35,000f 2 Standard cell gate is 200 to 1000f 2 3 connections Standard cell flip-flop is 5000f 2 5 connections 16 bit sync-counter is 125,000f 2 20 connections Opamp is 300,000f 2 4 connections f 2 is minimum feature squared 14

R8051/Memory 5X Performance 1/10 th Power 15

16

New Apps New Architectures 17

Dis-Integrated 3D Memory Memory Layers Bitlines Memory Cells Wordlines Power,Ground, VBB,VDH Controller Layer Senseamps I/O Drivers Wordline Drivers 18

Octopus DRAM 1-4Gb 16 Ports x 128bits (each way) @1GHz CWL=0 CRL=2 SDR format 7ns closed page access to first data (aligned) <20ns full cycle memory time 288GB/s data transfer rate Max clk=1.6ghz Internally ECC protected, Dynamic self-repair, Post attach repair 115C die full function operating temperature JTAG/Mailbox test&configuration Power -40% Density x4++ Performance +300% Cost -50% 19

Octopus DRAM Layer 20

Octopus Controller 21

Main Memory Power Cliff DDR3 ~40mW per pin 1024 Data pins 40W 4096 Data pins 160W Die on Wafer ~24uW per pin 22

DDR2/3/4 Memory Channels The Industry Issue 10000 To continue to increase CPU performance, exponential bandwidth growth required. 1000 100 10 Best Case Number of channels to support Float OPS Worst Case Number of channels to support Float OPS Best Case Number of channels to support OPS Worst Case Number of channels to support OPS Best Case Number of channels to support mixed OPS More than 200 CPU cycles of delay to memory results in cycle for cycle CPU stalls. 16 to 64 Mbytes per thread required to hide CPU memory system accesses. 1 Need 50x bandwidth improvement. Need 10x better cost model than embedded memory. No current extension of existing IC technology can address requirements. Memory I/O power is running away. 23

The Killer App: Split-Die Tezzaron 3D DRAM Embedded Performance with far superior cost/density. 110nm DRAM node has better density than 45nm embedded DRAM. 1000x reduction in I/O power. DRAM Customer Host Device I/O Pad area : Bumping or wire bonding 24

Die to Wafer With Stencils Diced Memory Stack CPU die Stencil Window 25

Die to Wafer With BCB Template RPI Effort under Dr. James Lu KGD 2um alignment / 5um pitch limit Cu-Cu thermo compression bonding Multilayer capability 26

Logic on Memory 172 pads 199 I/O Bondpoints/side Memory also acts as interposer 92 pads (528 total pads at edge, stagger 250um pad, 125um pitch ~1500 available pads) 8 DRAM ports 16x21 pad array >10 f bypass caps SS ~4,000pf 27

2-4 layer logic device Face to Face Bond 5x5 mm Octopus memory device 21.8x12.3 mm (2-5 layer) Hyper-Integration Controller Memory Memory 5-9 layer stacks Bond pads 528 available Stagger 125um pitch TSVs Layer 5 Layer 7 Layer 9 Layer Poly 9 11 17 Copper Wire 21 (25) 32 (38) 34 (42) Al/W Wire 7 7 13 Trans. Count 3B 3.1B 5.5B 28

Tools Partitioning tools 3D P&R Access Testing IEEE 1500 IEEE 1149 Standards 3D Challenges Die level JEDEC JC-11 Wide bus memory Foundry interface 29

MAX-3D by Micro Magic, Inc. Fully functional 3D layout editor. Independent tech files for each tier. Saves GDSII as flipped or rotated. Custom output streams for 3D DRC / LVS. DRC, LVS, Transistor synthesis, Crossprobing. Multiple tapeouts, 0.35um-45nm >20GB, ~10B devices 30

3D LVS using QuartzLVS from Magma Key features LVS each of the 2D designs as well as the 3D interconnections between them in a single run Driven by a 3D tech file that specifies the number and order of layers, interconnect material, etc TSV aware LVS extraction Full debug environment to analyze any LVS mismatch # 3D LVS Tech file WAFER: 1 LAYOUT TOP BLOCK: lvslayer1_1 SCHEMATIC TOP BLOCK: lvslayer1 GDSII FILE: lvslayer1_1.gds SCHEMATIC NETLIST: lvslayer1.sp INTERFACE UP METAL: 1;0 INTERFACE UP TEXT: 1;101... INTERFACE: LAYOUT TOP BLOCK: lvstop SCHEMATIC TOP BLOCK: lvstop GDSII FILE: lvstop_all.gds SCHEMATIC NETLIST: lvstop.sp BOND OUT METAL: 5;0 BOND OUT TEXT: 5;101 31

R3Logic 3DIntegrator High level partitioning 3 rd Party R3Integrator 3 rd Party 32

3D MPW Complete 3D PDK 7 th Release GF 130nm Calibre, Synopsis, Hspice, Cadence MicroMagic 3D physical editor Magma 3D DRC/LVS Artisan standard cell libraries Release 8 up coming MOSIS, CMP, and CMC MPW support July 1 st MPW Tapeout 90nm, 150nm SOI Silicon Workbench >70 in process >400 users 33

Near End-of-Line M8 TM M7 M6 M5 M4 M4 M5 2x,4x,8x Wiring level ~.2/.2um S/W M3 SIN W M2 M1 poly STI TSV is 1.2µ Wide and ~10µ deep 5.6µ 34

OC768 Packet Engine How do we test this? Test Engines (BIST, BISR) & NOCs Dual PPC 64x ARM SOC FPGA (CPU Augmentation) DRAM Flash CAM CAM FPGA (Packet Cracker) Stack Controller 35

Power Control Timing Control UC Event Detection TAP 8051 core Test Core Test Core Memory Bank Memory Bank Test Core Memory Bank EDAC Test Core Memory Bank PROM Test Core Test Core Memory Bank Memory Bank ROM Test Core Memory Bank SRAM Test Core Memory Bank 36

Memory Test Processor 1 inst/clk At speed testing Register file with inc/dec and compare Row, Column, Layer Special complex instructions Activate, Test, Branch, Inc/Dec Data Scramble by Row, Column, Layer Restart instruction insertion Probe mode 37

Network-On-Chip: 3D-Routing Node UP WEST NORTH SOUTH EAST TBUS DOWN 38

Fault Tolerant Self-configuring/Re-configuring Stack Manager tbus Processor0 TBS TBU tbus TBC tbus routing nodes Stack Manager tbus Processor1 TBS TBU tbus TBC tbus routing nodes 39

3D Interconnect 40

Summary 3D has numerous and vast opportunities!! New design approaches New ways of thinking New tools Poised for explosive growth 1-3 generation shrink equivalent Sensors Computing MEMS Communications 41