Computer Aided Design (CAD) Lecture 10. Introduction to Simulink (3) Dr.Eng. Basem ElHalawany

Similar documents
Lecture 2 Matlab Environment

Objectives. Simulink Basics

Experiment 6 SIMULINK

Experiment 8 SIMULINK

Assignment 2 in Simulation of Telesystems Laboratory exercise: Introduction to Simulink and Communications Blockset

Experiment 3. Getting Start with Simulink

Introduction to Simulink. The Use of Mathematic Simulations in Electrical Engineering

SIGNALS AND LINEAR SYSTEMS LABORATORY EELE

Session 3 Introduction to SIMULINK

Intro to System Generator. Objectives. After completing this module, you will be able to:

FPGA Co-Simulation of Gaussian Filter Algorithm Application Note

What's new in MATLAB and Simulink for Model-Based Design

Introduction to Simulink

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Introduction to Matlab Simulink. Control Systems

Lecture 10: Simulink. What is Simulink?

DSP Builder User Guide

ELEC ENG 4CL4 CONTROL SYSTEM DESIGN

ibob ADC Tutorial CASPER Reference Design

Systems & Control Lab.-Manual

2 SIMULATING A MODEL Simulink Tutorial

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Introduction to Microchip-SIMULINK Blocksets and MATLAB Plug-in for MPLAB IDE

Importing Models from Physical Modeling. Tools Using the FMI Standard

MATLAB AND MODELSIM LINKING

Process Automation CHEM-E7140

INTRODUCTION TO MATLAB, SIMULINK, AND THE COMMUNICATION TOOLBOX

SIMULINK FOR BEGINNERS:

SIMULINK A Tutorial by Tom Nguyen

A Framework for Video Processing with the DM6437 DVDP *

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Quick Start Guide for OP4200 Thank you for choosing RT-LAB as your real-time simulation platform.

Quick Start Guide. Thank you for choosing RT-LAB as your real-time simulation platform.

Simulink Basics Tutorial

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Introduction to Simulink

AC : INCORPORATING SYSTEM-LEVEL DESIGN TOOLS INTO UPPER-LEVEL DIGITAL DESIGN AND CAPSTONE COURSES

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

5 January ModelSim v5.7 Quick Reference Guide

Computer Programming ECIV 2303 Chapter 1 Starting with MATLAB Instructor: Dr. Talal Skaik Islamic University of Gaza Faculty of Engineering

Graphical Display of Power Monitoring Data

Model-Based Design for Video/Image Processing Applications

FLORIDA INTERNATIONAL UNIVERSITY EEL-6681 FUZZY SYSTEMS

This knowledge was gained partially through trial and error, but largely thanks to the help of the folks at CASPER.

MATLAB Introduction To Engineering for ECE Topics Covered: 1. Creating Script Files (.m files) 2. Using the Real Time Debugger

Student User Guide for PsychPortal Psychology Tenth Edition In Modules

Note 10 Introduction to MATLAB & SIMULINK

Introducing Simulink R2012b for Signal Processing & Communications Graham Reith Senior Team Leader, UK Application Engineering

DSP Builder Release Notes

Student User Guide for StatsPortal Introduction to the Practice of Statistics, Sixth Edition

UNIT 5. Simulink. 1. Introduction

MATLAB - Lecture # 4

SystemVue 2011 Fundamentals (version ) LAB EXERCISE 1. SystemVue Basics

Student User Guide for GeographyPortal The Human Mosaic, Twelfth Edition

Student User Guide for BioChemPortal Biochemistry: A Short Course, 2e

Audio Signal Processing in MATLAB Youssef Abdelilah Senior Product Manager

Quanser Qbot. Set up and Tutorial Guide

MATLAB. Miran H. S. Mohammed. Lecture 1

[ MATLAB ] [ Resources ] PART TWO: SIMULINK

PSIM SIMULATION SOFTWARE. TUTORIAL How to use the Simcoupler

Lab IP Addresses and Network Communication

FFT MegaCore Function User Guide

Student User Guide for StatsPortal The Basic Practice of Statistics, Fifth Edition

Boise State University Digital Systems Laboratory

Kintex-7: Hardware Co-simulation and Design Using Simulink and Sysgen

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan

the Simulation of Dynamics Using Simulink

컴퓨터비전의최신기술 : Deep Learning, 3D Vision and Embedded Vision

Student User Guide for GeneticsPortal Introduction to Genetic Analysis Tenth Edition Griffiths, Wessler, Carroll, and Doebly

by D2L CONTENT DISCUSSIONS STUDENT CHANGES August, 2015 New Name, Same System!

UNIVERSITI TEKNIKAL MALAYSIA MELAKA FAKULTI KEJURUTERAAN ELEKTRONIK DAN KEJURUTERAAN KOMPUTER

HUB: Add a Class Section to a Multiple Component Course Already on the Schedule

Eclipse/Websphere. Page 1 Copyright 2004 GPL License. All rights reserved.

Lab 0a: Introduction to MATLAB

DSP Design Flow User Guide

Adding Your Files to Blackboard (i.e. Uploading Your Syllabus) Option A: Uploading Your File through an Item s Attach File Area

Avnet Speedway Design Workshop

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

A02 - How to Combine Existing Model with New DWG

FPGA Based Digital Design Using Verilog HDL

Vivado Design Suite Tutorial. Model-Based DSP Design using System Generator

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial

Chapter 5. Hardware Software co-simulation

Channel Decoding in Wireless Communication Systems using Deep Learning

Since you can designate as many symbols as needed as baseline symbols it s possible to show multiple baselines with unique symbology.

IBM Cognos Analytics 11 Report User Training Scheduling Reports Job Aid

Quick Tutorial for Quartus II & ModelSim Altera

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Lesson 4 Customize the ToolBox

GRAPHIC WEB DESIGNER PROGRAM

ALEKS. Creating Master Templates

FPGA Design Tutorial

DSP Builder Release Notes and Errata

Performing real-time BCI experiments

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Student User Guide for EconPortal Macroeconomics in Modules, Second Edition

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing

Figure 1: Syllabus opened in Word Online. Click the File tab (1)

Transcription:

Computer Aided Design (CAD) Lecture 10 Introduction to Simulink (3) Dr.Eng. Basem ElHalawany

Schedule (Updated 28-10) Topics Estimated Duration (# Lectures) Introduction 1 Introduction to Matlab Environment 1 Matlab Programing (m-files) (1) 5 Modeling using Matlab Simulink Tool 4 (3/4) Midterm 7 th Week Communication Systems Simulation (Applications) 3 Introduction to FPGA + Review on Digital Logic/Circuits 2 VHDL Modeling Language 4 VHDL Application 2 Introduction to OPNET Network Simulator 2 Course Closeout / Feedback/ project (s) Delivery 1 CAD - Basem ElHalawany 2

The Lecture is based on : 1. Modeling of Digital Communication Systems using simulink 2. Online Tutorials, You can find complete links on Instructor External Links on University website www.bu.edu.eg/staff/basem.mamdoh-external-links CAD - Basem ElHalawany 3

CAD Dr.Eng. Basem ElHalawany 4

CAD Dr.Eng. Basem ElHalawany 5

CAD Dr.Eng. Basem ElHalawany 6

In Matlab 2014 From Diagram/ Subsystem / Model reference / Select Creat Subsystem from selection CAD Dr.Eng. Basem ElHalawany 7

In Matlab 2014 The following figure represents the new subsystem The internal construction can be accessed by double-clicking on it CAD Dr.Eng. Basem ElHalawany 8

CAD Dr.Eng. Basem ElHalawany 9

Masking Subsystem Right-click on the subsystem, then select Mask, then Create Subsystem. CAD Dr.Eng. Basem ElHalawany 10

Masking Subsystem 11 You can create the mask here by using the commands in the lower part according to your design

Masking Subsystem 12 Icons and Ports Tab

Masking Subsystem 13 Parameters and Dialog Tab System SubSystem

Masking Subsystem 14 Parameters and Dialog Tab Adding introductory text or information in A

Masking Subsystem 15 Parameters and Dialog Tab Adding Image to the information section

Masking Subsystem 16 Parameters and Dialog Tab Adding Image to the information section

Masking Subsystem 17 Parameters and Dialog Tab Adding an input prompt from Edit

Initialization Masking Subsystem 18

Masking Subsystem Documentation Editor CAD Dr.Eng. Basem ElHalawany 19

Running Simulink simulation from a MATLAB m-file Two commands are used : simset sim CAD Dr.Eng. Basem ElHalawany 20

Using MATLAB Function Block (old name: Embedded function) The MATLAB Function Block is an easy and convenient way to write MATLAB m-code that can be incorporated into a Simulink model. The MATLAB Function block is obtained from the User Defined Functions Library and is inserted into a model in the same way Once in a model the m-code that represents the block s functionality is associated with the block by writing it in the matlab editor and is viewed by double clicking on the block. CAD Dr.Eng. Basem ElHalawany 21

Using MATLAB Function Block The function s number of input arguments automatically corresponds to the number of block input ports and The function s number of output arguments automatically corresponds to the number of block output ports. Similarly the input arguments automatically take on the size and data-type of input signals, and The output signals automatically take on the size and data-type of the output variables created in the function. This imposes the restriction that the size and data-type of output variables typically needs to be defined at the start of the m-code and not changed during the simulation. CAD Dr.Eng. Basem ElHalawany 22

Using MATLAB Function Block Example: CAD Dr.Eng. Basem ElHalawany 23

Steps for Building a Simple Communication Model 24 Example of Channel Effect: You can add noise to the model using the AWGN Channel block The block adds additive white Gaussian noise (AWGN) to the sine wave. DSP toolbox/ DSP Sources DSP toolbox/ DSP Sinks Communications Blockset / Channels library

Steps for Building a Simple Communication Model 25 Example of Channel Effect: SNR = 10 db SNR = 0 db SNR = -10 db