CSC / EE Digital Systems Design. Summer Sample Project Proposal 01

Similar documents
Laboratory Exercise 9

Laboratory Exercise 8

Using Library Modules in VHDL Designs

Using Library Modules in VHDL Designs. 1 Introduction. For Quartus II 12.1

Using Library Modules in VHDL Designs

Introduction to VHDL Design on Quartus II and DE2 Board

QUARTUS II Altera Corporation

Laboratory Exercise 7

Lab 3: Standard Combinational Components

In our case Dr. Johnson is setting the best practices

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lab 3. Advanced VHDL

Chapter 6 Combinational-Circuit Building Blocks

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University

Laboratory Exercise 1

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

Laboratory 4 Design a Muti-bit Counter

Experiment 8 Introduction to VHDL

CprE 583 Reconfigurable Computing

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

Digital Systems Design

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles

Using Library Modules in Verilog Designs

Counters and Simple Design Example

Using Library Modules in Verilog Designs. 1 Introduction. For Quartus II 13.0

[VARIABLE declaration] BEGIN. sequential statements

Advanced Electronics Lab.

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

6.111 Lecture # 8. Topics for Today: (as time permits)

CSEE W4840 Embedded System Design Lab 1

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CS303 LOGIC DESIGN FINAL EXAM

Quartus Counter Example. Last updated 9/6/18

Making Qsys Components. 1 Introduction. For Quartus II 13.0

The block diagram representation is given below: The output equation of a 2x1 multiplexer is given below:

Chip Design with FPGA Design Tools

Sign here to give permission for your test to be returned in class, where others might see your score:

Tutorial 2 Implementing Circuits in Altera Devices

Laboratory Exercise 5

EEL 4712 Digital Design Test 1 Spring Semester 2008

ECE 437 Computer Architecture and Organization Lab 6: Programming RAM and ROM Due: Thursday, November 3

Control and Datapath 8

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 9 & 10 : Combinational and Sequential Logic

Simulation with ModelSim Altera from Quartus II

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

Experiment 0 OR3 Gate ECE 332 Section 000 Dr. Ron Hayne June 8, 2003

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

ENGR 2031 Digital Design Laboratory Lab 7 Background

Single-Cycle CPU VITO KLAUDIO CSC343 FALL 2015 PROF. IZIDOR GERTNER

Lab 2 EECE473 Computer Organization & Architecture University of Maine

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

Tri-State Bus Implementation

NIOS CPU Based Embedded Computer System on Programmable Chip

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

Digital Systems Design

Lab 4: Register File and Memory 50 points Instructor: Yifeng Zhu Due: One week

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

The CPU Bus : Structure 0

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Digital Design Laboratory Lecture 2

Summary of FPGA & VHDL

CCE 3202 Advanced Digital System Design

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

FPGA Introductory Tutorial: Part 1

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

Objective Design a 4*4 register file and test it on VSIM, QUARTUS, and UP3 board.

Menu. Introduction to VHDL EEL3701 EEL3701. Intro to VHDL

Laboratory Exercise 3

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

Introduction to VHDL #1

EE25266 ASIC/FPGA Chip Design

CCE 3202 Advanced Digital System Design

VHDL in 1h. Martin Schöberl

8 Register, Multiplexer and

FPGA Design. Part III - Combinatorial VHDL. Thomas Lenzi

CSEE W4840 Embedded System Design Lab 1

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1

Solutions - Homework 4 (Due date: November 9:30 am) Presentation and clarity are very important!

NIOS CPU Based Embedded Computer System on Programmable Chip

EL 310 Hardware Description Languages Midterm

Lecture 12 VHDL Synthesis

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

NIOS Character. Last updated 7/16/18

Luleå University of Technology Kurskod SMD152 Datum Skrivtid

Simulation with ModelSim Altera from Quartus II

Computer-Aided Digital System Design VHDL

Tutorial on Quartus II Introduction Using Verilog Code

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. Behavioral Design Style: Registers & Counters.

Transcription:

THE CATHOLIC UNIVERSITY OF AMERICA SCHOOL OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE CSC / EE 519-01 Digital Systems Design Summer 2013 Sample Project Proposal 01 Thursday June 6 th, 2013 Student Name: Student ID:

Sample Project 01 A Simple Processor Figure 1 shows a digital system that contains a number of -bit registers, a multiplexer, an adder/subtracter unit, and a control unit (finite state machine). Data is input to this system via the -bit DIN input. This data can be loaded through the -bit wide multiplexer into the various registers, such as R0,..., R7 and A. The multiplexer also allows data to be transferred from one register to another. The multiplexer s output wires are called a bus in the figure because this term is often used for wiring that allows data to be transferred from one location in a system to another. Addition or subtraction is performed by using the multiplexer to first place one -bit number onto the bus wires and loading this number into register A. Once this is done, a second -bit number is placed onto the bus, the adder/subtracter unit performs the required operation, and the result is loaded into register G. The data in G can then be transferred to one of the other registers as required. R0 in R0 R7 in R7 A in A Clock DIN AddSub Addsub 9 8 Multiplexers G in G Bus G out DIN out R0 out R7out IR in IR 9 Control unit FSM Run Resetn Figure 1: A digital system. The system can perform different operations in each clock cycle, as governed by the control unit. This unit determines when particular data is placed onto the bus wires and it controls which of the registers is to be loaded with this data. For example, if the control unit asserts the signals R0 out and A in, then the multiplexer will place the contents of register R0 onto the bus and this data will be loaded by the next active clock edge into register A. A system like this is often called a processor. It executes operations specified in the form of instructions. 1

Table 1 lists the instructions that the processor has to support for this exercise. The left column shows the name of an instruction and its operand. The meaning of the syntax RX [RY] is that the contents of register RY are loaded into register RX. The mv (move) instruction allows data to be copied from one register to another. For the mvi (move immediate) instruction the expression RX D indicates that the -bit constant D is loaded into register RX. Operation mv Rx,Ry mvi Rx,#D add Rx, Ry sub Rx, Ry Function performed Rx [Ry] Rx D Rx [Rx] + [Ry] Rx [Rx] [Ry] Table 1. Instructions performed in the processor. Each instruction can be encoded and stored in the IR register using the 9-bit format IIIXXXYYY, where III represents the instruction, XXX gives the RX register, and YYY gives the RY register. Although only two bits are needed to encode our four instructions, we are using three bits because other instructions will be added to the processor in later parts of this exercise. Hence IR has to be connected to nine bits of the -bit DIN input, as indicated in Figure 1. For the mvi instruction the YYY field has no meaning, and the immediate data #D has to be supplied on the -bit DIN input after the mvi instruction word is stored into IR. Some instructions, such as an addition or subtraction, take more than one clock cycle to complete, because multiple transfers have to be performed across the bus. The finite state machine in the control unit steps through such instructions, asserting the control signals needed in successive clock cycles until the instruction has completed. The processor starts executing the instruction on the DIN input when the Run signal is asserted and the processor asserts the output when the instruction is finished. Table 2 indicates the control signals that can be asserted in each time step to implement the instructions in Table 1. Note that the only control signal asserted in time step 0 is IR in, so this time step is not shown in the table. (mv): I 0 RY out, RX in, (mvi): I 1 DIN out, RX in, T 1 T 2 T 3 (add): I 2 RX out, A in RY out, G in G out, RX in, (sub): I 3 RX out, A in RY out, G in, G out, RX in, AddSub Table 2. Control signals asserted in each instruction/time step. 2

Part I Design and implement the processor shown in Figure 1 using VHDL code as follows: 1. Create a new Quartus II project for this exercise. 2. Generate the required VHDL file, include it in your project, and compile the circuit. A suggested skeleton of the VHDL code is shown in parts a and b of Figure 2, and some subcircuit entities that can be used in this code appear in Figure 2c. 3. Use functional simulation to verify that your code is correct. An example of the output produced by a functional simulation for a correctly-designed circuit is given in Figure 3. It shows the value (2000) being loaded into IR from DIN at time 30 ns. This pattern (the leftmost bits of DIN are connected to IR) represents the instruction mvi R0,#D, where the value D = 5 is loaded into R0 on the clock edge at 50 ns. The simulation then shows the instruction mv R1,R0 at 90 ns, add R0,R1 at 110 ns, and sub R0,R0 at 190 ns. Note that the simulation output shows DIN as a 4-digit hexadecimal number, and it shows the contents of IR as a 3-digit octal number. 4. Create a new Quartus II project which will be used for implementation of the circuit on the Altera DE2- series board. This project should consist of a top-level entity that contains the appropriate input and output ports for the Altera board. Instantiate your processor in this top-level entity. Use switches SW 15 0 to drive the DIN input port of the processor and use switch SW 17 to drive the Run input. Also, use push button KEY 0 for Resetn and KEY 1 for Clock. Connect the processor bus wires to LEDR 15 0 and connect the signal to LEDR 17. 5. Add to your project the necessary pin assignments for the DE2-series board. Compile the circuit and download it into the FPGA chip. 6. Test the functionality of your design by toggling the switches and observing the LEDs. Since the processor s clock input is controlled by a push button switch, it is easy to step through the execution of instructions and observe the behavior of the circuit. LIBRARY ieee; USE ieee.std_logic_14.all; USE ieee.std_logic_signed.all; ENTITY proc IS PORT ( DIN : IN STD_LOGIC_VECTOR(15 DOWNTO 0); Resetn, Clock, Run : IN STD_LOGIC; : BUFFER STD_LOGIC; BusWires : BUFFER STD_LOGIC_VECTOR(15 DOWNTO 0)); END proc; ARCHITECTURE Behavior OF proc IS... declare components... declare signals TYPE State_type IS (T0, T1, T2, T3); SIGNAL Tstep_Q, Tstep_D: State_type;... High <= 1 ; I <= IR(1 TO 3); decx: dec3to8 PORT MAP (IR(4 TO 6), High, Xreg); decy: dec3to8 PORT MAP (IR(7 TO 9), High, Yreg); Figure 2a. Skeleton VHDL code for the processor. 3

statetable: PROCESS (Tstep_Q, Run, ) CASE Tstep_Q IS WHEN T0 => IF(Run = 0 ) THEN Tstep_D <= T0; ELSE Tstep_D <= T1; END IF; - - data is loaded into IR in this time step... other states END PROCESS; controlsignals: PROCESS (Tstep_Q, I, Xreg, Yreg)... specify initial values CASE Tstep_Q IS WHEN T0 => - - store DIN in IR as long as Tstep_Q = 0 IRin <= 1 ; WHEN T1 => - - define signals in time step T1 CASE I IS... WHEN T2 => - - define signals in time step T2 CASE I IS... WHEN T3 => - - define signals in time step T3 CASE I IS... END PROCESS; fsmflipflops: PROCESS (Clock, Resetn, Tstep_D)... END PROCESS; reg_0: regn PORT MAP (BusWires, Rin(0), Clock, R0);... instantiate other registers and the adder/subtracter unit... define the bus END Behavior; Figure 2b. Skeleton VHDL code for the processor. 4

LIBRARY ieee; USE ieee.std_logic_14.all; ENTITY dec3to8 IS PORT ( W : IN STD_LOGIC_VECTOR(2 DOWNTO 0); En : IN STD_LOGIC; Y : OUT STD_LOGIC_VECTOR(0 TO 7)); END dec3to8; ARCHITECTURE Behavior OF dec3to8 IS PROCESS (W, En) IF En = 1 THEN CASE W IS WHEN "000" => Y <= "10000000"; WHEN "001" => Y <= "01000000"; WHEN "010" => Y <= "00100000"; WHEN "011" => Y <= "00010000"; WHEN "100" => Y <= "00001000"; WHEN "101" => Y <= "00000100"; WHEN "110" => Y <= "00000010"; WHEN "111" => Y <= "00000001"; ELSE Y <= "00000000"; END IF; END PROCESS; END Behavior; LIBRARY ieee; USE ieee.std_logic_14.all; ENTITY regn IS GENERIC (n : INTEGER := ); PORT ( R : IN STD_LOGIC_VECTOR(n-1 DOWNTO 0); Rin, Clock : IN STD_LOGIC; Q : BUFFER STD_LOGIC_VECTOR(n-1 DOWNTO 0)); END regn; ARCHITECTURE Behavior OF regn IS PROCESS (Clock) IF Clock EVENT AND Clock = 1 THEN IF Rin = 1 THEN Q <= R; END IF; END IF; END PROCESS; END Behavior; Figure 2c. Subcircuit entities for use in the processor. 5

Figure 3. Simulation of the processor. Part II In this part you are to design the circuit depicted in Figure 4, in which a memory module and counter are connected to the processor from Part I. The counter is used to read the contents of successive addresses in the memory, and this data is provided to the processor as a stream of instructions. To simplify the design and testing of this circuit we have used separate clock signals, PClock and MClock, for the processor and memory. Counter Memory Processor n addr data DIN Bus Bus Resetn Run MClock PClock Resetn Run Figure 4. Connecting the processor to a memory and counter. 1. Create a new Quartus II project which will be used to test your circuit. 2. Generate a top-level VHDL file that instantiates the processor, memory, and counter. Use the Quartus II MegaWizard Plug-In Manager tool to create the memory module from the Altera library of parameterized modules (LPMs). The correct LPM is found under the Memory Compiler category and is called ROM: 1-PORT. Follow the instructions provided by the wizard to create a memory that has one -bit wide read data port and is 32 words deep. Page 4 of the wizard is shown in Figure 5. Since this memory has only a read port, and no write port, it is called a synchronous read-only memory (synchronous ROM). Note that the memory includes a register for synchronously loading addresses. This register is required due to the design of the memory resources on the Cyclone FPGA; account for the clocking of this address register in your design. 6

To place processor instructions into the memory, you need to specify initial values that should be stored in the memory once your circuit has been programmed into the FPGA chip. This can be done by telling the wizard to initialize the memory using the contents of a memory initialization file (MIF). The appropriate screen of the MegaWizard Plug-In Manager tool is illustrated in Figure 6. We have specified a file named inst_mem.mif, which then has to be created in the directory that contains the Quartus II project. Use the Quartus II on-line Help to learn about the format of the MIF file and create a file that has enough processor instructions to test your circuit. 3. Use functional simulation to test the circuit. Ensure that data is read properly out of the ROM and executed by the processor. 4. Make sure your project includes the necessary port names and pin location assignments to implement the circuit on the DE2-series board. Use switch SW 17 to drive the processor s Run input, use KEY 0 for Resetn, use KEY 1 for MClock, and use KEY 2 for PClock. Connect the processor bus wires to LEDR 15 0 and connect the signal to LEDR 17. 5. Compile the circuit and download it into the FPGA chip. 6. Test the functionality of your design by toggling the switches and observing the LEDs. Since the circuit s clock inputs are controlled by push button switches, it is easy to step through the execution of instructions and observe the behavior of the circuit. Figure 5. 1-PORT configuration. 7

Figure 6. Specifying a memory initialization file (MIF). Enhanced Processor It is possible to enhance the capability of the processor so that the counter in Figure 4 is no longer needed, and so that the processor has the ability to perform read and write operations using memory or other devices. These enhancements involve adding new instructions to the processor and the programs that the processor executes are therefore more complex; they are described in a subsequent lab exercise available from Altera. 8