IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Similar documents
Platform-based Design

System on Chip (SoC) Design

Platform for System LSI Development

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

Hardware Software Codesign of SOC 晶片系統之軟硬體共同設計

Introduction to System-on-Chip

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

VLSI Design Automation. Maurizio Palesi

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Digital Systems Design. System on a Programmable Chip

VLSI Design Automation

Design of DMA Controller Using VHDL

ECE 448 Lecture 15. Overview of Embedded SoC Systems

VLSI Design Automation

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Virtual Array Architecture for efpga April 5, 2018

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

101-1 Under-Graduate Project Digital IC Design Flow

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

Design Methodologies

Park Sung Chul. AE MentorGraphics Korea

LX4180. LMI: Local Memory Interface CI: Coprocessor Interface CEI: Custom Engine Interface LBC: Lexra Bus Controller

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Overview of Digital Design Methodologies

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

An Introduction to Programmable Logic

SoC Design Lecture 9: Platform Based Design. Shaahin Hessabi Department of Computer Engineering

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

Contents of this presentation: Some words about the ARM company

Intro to High Level Design with SystemC

ARM Processors for Embedded Applications

Introduction to Embedded Systems

Introduction to Embedded Systems

Platform-based SoC Design

Chapter 4 IP Core Design, Modeling and Verification

Embedded HW/SW Co-Development

COE 561 Digital System Design & Synthesis Introduction

CONTACT: ,

Hardware Design with VHDL PLDs IV ECE 443

Overview of SOC Architecture design

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

The SOCks Design Platform. Johannes Grad

System-on-Chip Design for Wireless Communications

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

Glossary. ATPG -Automatic Test Pattern Generation. BIST- Built-In Self Test CBA- Cell Based Array

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

More Course Information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Design of Embedded DSP Processors Unit 5: Data access. 9/11/2017 Unit 5 of TSEA H1 1

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

COMPLEX EMBEDDED SYSTEMS

FPGA Based Digital Design Using Verilog HDL

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

IP Reuse Methodology in Industrial Practice

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Overview of Microcontroller and Embedded Systems

Design of Transport Triggered Architecture Processor for Discrete Cosine Transform

Designing Embedded Processors in FPGAs

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Microprocessors, Lecture 1: Introduction to Microprocessors

Introduction. Sungho Kang. Yonsei University

ipprocess: A Development Process for Soft IP-core with Prototyping in FPGA

Chapter 0 Introduction

Overview of Digital Design with Verilog HDL 1

(Multimedia Communication IP Design)

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1

Long Term Trends for Embedded System Design

A 1-GHz Configurable Processor Core MeP-h1

Digital Electronics 27. Digital System Design using PLDs

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Design Issues in Hardware/Software Co-Design

Qsys and IP Core Integration

Indian Silicon Technologies 2013

Introduction CHAPTER IN THIS CHAPTER

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Test and Verification Solutions. ARM Based SOC Design and Verification

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Evolution of CAD Tools & Verilog HDL Definition

Transcription:

IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw

Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP core design flow, modeling and verification Algorithm and architecture exploration Some design studies 1/22

Reference Books Reuse Methodology Manual for System-on-A-Chip Designs, By Michael Keating and Pierre Bricaud, 2ed. 1999 ARM System-on-Chip Architecture By Steve Furber, 2ed. 2000 VLSI Digital Signal Processing Systems By K.K. Parhi, 1999 VSIA web site, www.vsi.org 2/22

Chapter 1 Introduction to System-on Chip and IP 3/22

SoC: System on Chip System A collection of all kinds of components and/or subsystems that are appropriately interconnected to perform the specified functions for end users. A SoC design is a product creation process which Starts at identifying the end-user needs Ends at delivering a product with enough functional satisfaction to overcome the payment from the enduser 4/22

SoC Also named System-on-a-Chip. System LSI, System-on-Silicon, System-on-. It used to be System-on-a-board, or System-in-acabinet, or System-in-a-room System - Hardware *Analog : ADC, DAC, PLL, TxRx, RF *Digital : Processor, Interface, Accelerator *Storage : SRAM, DRAM, FLASH, ROM -Software : OS, Application 5/22

SoC Architecture Processor Embedded Software RTOS Memory DSP or Special FU OCB Architecture Configurable Hardware RF Mixed Signal JTAG Interface Peripherals 6/22

SoC - An Example! Source: ARM Solutions Smart Phones and Communicators 7/22

SoC Applications Communication Digital cellular phone Networking Computer PC/Workstation Chipsets Consumer Set top box Game box Digital camera 8/22

Benefits of Using SoC Reduce overall system cost Increase performance Lower power consumption Reduce size 9/22

Evolution of Silicon Design Year 1997 1998 1999 2002 Process Technology 0.35u 0.25u 0.18u 0.13u Design Cycle (month) Derivative Cycle (month) Silicon Complexity (gate) Applications 18 ~ 12 8 ~ 6 200 ~ 500 k Cellular, PDAs, DVD 12 ~ 10 6 ~ 4 1 ~ 2 M Set-top boxes, Wireless PDA 10 ~ 8 4 ~ 2 4 ~ 6 M Internet appliances, 8 ~ 6 3 ~ 2 10 ~ 25 M Ubiquitous computing Anything portable Intelligent, interconnected controllers! Source: Surviving the SOC Revolution - A Guide to Platform-Based Design by Henry Chang et al, Kluwer Academic Publishers, 1999 10/22

Challenges in SoC Era Time-to-market Process roadmap acceleration Consumerization of electronic devices Complex systems µcs, DSPs, HW/SW, SW protocol stacks, RTOS s, digital/analog IPs, On-chips buses Deep submicron effects Crosstalk, electronmigration, wire delays, mask costs 11/22

How to Conquer the Complexity Use a known real entity A pre-designed component (IP reuse) A platform (architecture reuse) Partition Based on functionality Hardware and software Modeling At different level Consistent and accurate 12/22

What is IP? Intellectual Property (IP) Intellectual Property means products, technology, software, etc. that have been protected through patents, copyrights, or trade secrets. Virtual Component (VC) A block that meets the Virtual Socket Interface Specification and is used as a component in the Virtual Socket design environment. Virtual Components can be of three forms Soft, Firm, or Hard. (VSIA) Also named mega function, macro block, reusable component 13/22

Reusable Component A design object This refers to the type of components for which a physical implementation exists that can be reused. For example, ALU chips or macrocells that can be embedded in larger chips, etc. These designs are largely implemented in specific technologies. Limited parameterization may be possible. These design objects typically exist in technology libraries from one or more suppliers. ---- EDA Industry Standard Roadmap 1996 14/22

Types of IP Soft - Very flexible - Not predicable Firm - Flexible - Predicable Hard - Not flexible - Very predicable Design Flow System Design RTL Design Synthesis Floorplanning Placement Routing Verification Representation Libraries Technology Portability Behavioral RTL RTL & Constraints Netlist Polygon Data N/A Reference Library - Footprint - Timing model - Wiring model Specific Library - Characterized cells - Fixed process rules Technology Independent Technology Generic Technology Fixed Unlimited Library Mapping Process Porting 15/22

IP Value Foundation IP Cell, MegaCell Star IP ARM ( low power ) Niche IP JPEG, MPEGII, TV, Filter Standard IP USB, IEEE1394, ADC, DAC.. 16/22

IP Sources Legacy IP - from previous IC New IP - specifically designed for reuse Licensed IP - from IP vendors 17/22

Why IP Don t know how to do it Cannot wait for new in-house development Standard/Compatibility calls for it - PCI, USB, IEEE1394, Bluetooth - software compatibility 18/22

Differences in Design Between IC and IP Limitation of IC design Number of I/O pin Design and Implement all the functionality in the silicon Soft IP No limitation on number of I/O pin Parameterized IP Design: design all the functionality in HDL code but implement desired parts in the silicon IP compiler/generator: select what you want!! More high level auxiliary tools to verify design More difficult in chip-level verification Hard IP No limitation on number of I/O pin Provide multiple level abstract model Design and Implement all the functionality in the layout Reusability 19/22

SIP Contest (1999 ) Memory Interface Socket Blowfish Cipher 高階㆔角積分調變器之合成軟體 32-bit Embedded Microprocessor JPEG Encoder IP Design New Synthesizable Digital Frequency Synthesizer 20/22

SIP Contest ( 2000 ) Reusable Embedded in-circuie Emulator High-speed Serial Bus IEEE 1394 Link and Digital PHY IP MAC IP and its Design Environment Multiply-And-Accumulator Unit Generator 32-bit Embedded Microprocessor and its Verification Environment A Programmable Arbiter for SoC Application 21/22

SIP Contest ( 2001 ) A Parameterized HDL Generator for Reed- Solomon Decoder Design of A RAM-based Internet Protocol Routing Table Lookup Design and Verification of An ARM9-like Embedded Microprocessor A Novel Architecture for Arithmetic Coding A Rijndael Cipher Chip for Advanced Encryption Standard 22/22