ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Similar documents
ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 551: Digital System *

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

Parallel versus serial execution

Finite-State Machine (FSM) Design

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007

Sequential Logic Implementation. Mealy vs. Moore Machines. Specifying Outputs for a Mealy Machine. Specifying Outputs for a Moore Machine

Quick Introduction to SystemVerilog: Sequental Logic

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

ECE 551 Digital System Design and Synthesis. Instructor: Kewal K. Saluja. Midterm Exam

Finite State Machines

Blocking(=) vs Nonblocking (<=) Assignment. Lecture 3: Modeling Sequential Logic in Verilog HDL. Procedural assignments

ECEN 468 Advanced Logic Design

Modeling Sequential Circuits in Verilog

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Digital Integrated Circuits

Verilog Synthesis and FSMs. UCB EECS150 Fall 2010 Lab Lecture #3

Mealy and Moore examples

Finite State Machines

Control in Digital Systems

EECS 151/251A: SRPING 2017 MIDTERM 1

Sequential Logic Design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

Sequential Circuits. inputs Comb FFs. Outputs. Comb CLK. Sequential logic examples. ! Another way to understand setup/hold/propagation time

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

FSM and Efficient Synthesizable FSM Design using Verilog

General FSM design procedure

Homework deadline extended to next friday

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

ECEN 468 Advanced Digital System Design

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Instructor: Mohsen Imani. Slides from Tajana Simunic Rosing

Abstraction of State Elements. Sequential Logic Implementation. Forms of Sequential Logic. Finite State Machine Representations

RealDigital. Problem Set #7 S1 S2 S3 Y Z X Y + Y Z X Z

Laboratory Exercise 3 Davide Rossi DEI University of Bologna AA

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

General FSM design procedure

Laboratory Exercise 7

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal

Behavioral Modeling and Timing Constraints

VHDL: RTL Synthesis Basics. 1 of 59

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Chapter 10. case studies in sequential logic design

Graduate Institute of Electronics Engineering, NTU Design of Datapath Controllers

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Nikhil Gupta. FPGA Challenge Takneek 2012

Modeling of Finite State Machines. Debdeep Mukhopadhyay

EN2911X: Reconfigurable Computing Lecture 06: Verilog (3)

Last Lecture: Divide by 3 FSM

Behavioral Modeling and Timing Constraints

Finite State Machines

Used to perform operations many times. See previous Parallel to Serial Example

EN164: Design of Computing Systems Lecture 07: Lab Foundations / Verilog 3

Digital Integrated Circuits

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6

CS 151 Final. Q1 Q2 Q3 Q4 Q5 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Synthesizable Verilog

ECE331: Hardware Organization and Design

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

Chapter 9: Sequential Logic Modules

Lecture 08 Finite State Machine Design Using VHDL

Lecture 24: Sequential Logic Design. Let s refresh our memory.

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

ECE Digital Engineering Laboratory. Designing for Synthesis

Today. Implementation of FSMs. Designing Digital System (1) Designing Digital System (2)

PDHonline Course G349. State Machines. Mark A. Strain, P.E. PDH Online PDH Center

CSE140L: Components and Design Techniques for Digital Systems Lab

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Verilog for High Performance

B.10 Finite State Machines B.10

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Exp#8: Designing a Programmable Sequence Detector

Verilog Execution Semantics

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Intro to HW Design & Externs for P4àNetFPGA. CS344 Lecture 5

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

CSE140L: Components and Design

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb With material by Professor Moritz and Kundu UMass Amherst Fall 2016

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Verilog Coding Guideline

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Writing Circuit Descriptions 8

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EEL 4783: HDL in Digital System Design

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

EECS150 - Digital Design Lecture 10 Logic Synthesis

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

Introduction to Verilog and ModelSim. (Part 6 State Machines)

ECE 353 Lab 4. Verilog Review. Professor Daniel Holcomb UMass Amherst Fall 2017

Transcription:

ECE 2300 Digital Logic & Computer Organization Spring 2017 More Verilog Finite State Machines Lecture 8: 1

Announcements 1 st batch of (raw) quiz scores released on CMS Solutions to HW 1-3 released on CMS 2 nd Prelim 1 review session @ 7:30pm, THR 203 Lecture 8: 2

Review: Verilog Design Styles Structural A module is built from other (sub-)modules via instance statements Behavioral Use continuous assignments and/or procedural code in always blocks and/or to indicate what actions to take Lecture 8: 3

Net and Variable Types We will mainly use two data type classes wire: represents a physical connection (or net) between hardware elements A stateless way of connected two elements in a Verilog design Can only be used to model combinational logic Cannot be used in the left-hand side in an always block reg: similar to wires, but can be used to store information (or state) like registers This is used in the behavioral style only Can be used to model both combinational and sequential logic Cannot be used in the left-hand side of a continuous assignment statement Lecture 8: 4

Blocking Assignments reg Y, Z; always @ (posedge clk) begin Y = A & B; Z = ~Y; end Blocking assignments CLK A B A B Y Z Y Z CLK Circuit diagram Waveform (assuming Y & Z are initialized with 0s) Lecture 8: 5

Nonblocking Assignments reg Y, Z; always @ (posedge clk) begin Y <= A & B; Z <= ~Y; end Nonblocking assignments CLK A B A B Y CLK Y Circuit diagram Z Z Waveform (assuming Y & Z are initialized with 0s) Lecture 8: 6

Another Example reg Y, Z; always @ (posedge clk) begin Y = ~Z; Z = A & B; end Blocking assignments reg Y, Z; always @ (posedge clk) begin Y <= ~Z; Z <= A & B; end Nonblocking assignments Is there a difference? Lecture 8: 7

Improperly Created (Inferred) Latches You re recommended to ensure that each variable within an always block gets assigned a value (under all possible conditions) Otherwise, the Verilog compiler assumes that the last value should be used, and will create a latch reg dout; always @(din, c) begin /* dout not always assigned a value; latch inferred */ if (c == 1'b1) dout = din; end reg dout; always @(din, c) begin /* dout assigned a value in both conditions, latch not inferred */ if (c == 1'b1) dout = din; else dout = ~din; end Lecture 8: 8

Finite State Machine Current State Inputs Combinational Logic State Outputs Next State A Finite State Machine (FSM) is an abstract representation of a sequential circuit The state embodies the condition of the system at this particular time The combinational logic determines the output and next state values The output values may depend only on the current state value, or on the current state and input values Lecture 8: 9

Elements of an FSM 1. A finite number of inputs 2. A finite number of outputs 3. A finite number of states 4. A specification of all state transitions Current State Inputs Combinational Logic State Outputs Next State Can be described by a state diagram Lecture 8: 10

FSM: General Form Inputs Combinational Logic Outputs Inputs and current state determine state transitions Current State FF Next State Output changes determined by changes in Current state, or Current state + inputs FF CLK Lecture 8: 11

Moore Machine Output Combinational Logic Outputs Inputs Next State Combinational Logic Outputs only depend on current state value Current State FF Next State FF Lecture 8: 12

Mealy Machine Output Combinational Logic Outputs Inputs Next State Combinational Logic Outputs depend on input and current state values Current State FF Next State FF Lecture 8: 13

State Diagram Visual specification of an FSM Bubble for every state Arcs showing state transitions Input values shown on the arcs Output values shown within the bubbles (Moore) or on the arcs (Mealy) Clock input implicit (always present, triggering state transitions) Moore FSM Mealy FSM Reset 0 1 Reset 0/0 1 S0 0 0 S1 0 1 0 S2 1 1/0 S0 0/0 1/1 S1 Lecture 8: 14

Moore State Diagram Moore FSM Reset 0 1 S0 0 0 S1 0 1 1 0 S2 1 1 input, 1 output, 3 states Bubble for each state State transitions (arcs) for each input value Input values on the arcs Output values within the bubbles Starts at S0 when Reset asserted Lecture 8: 15

Mealy State Diagram Mealy FSM Reset 0/0 1/0 S0 0/0 1/1 S1 1 input, 1 output, 2 states Bubble for each state State transitions (arcs) for each input value Input values on the arcs (first number) Output values on the arcs (second number) Starts at S0 when Reset asserted Lecture 8: 16

FSM Design Procedure (1) Understand the problem statement and determine inputs and outputs This lecture (2) Identify states and create a state diagram (3) Determine the number of required D FFs (4) Implement combinational logic for outputs and next state (5) Simulate the circuit to test its operation Lecture 8: 17

Example FSM: Pattern Detector Monitors the input, and outputs a 1 whenever a specified input pattern is detected Example: Output a 1 whenever 111 is detected on the input over 3 consecutive clock cycles Overlapping patterns also detected (1111...) Input In Output Out Reset causes FSM to start in initial state Clock input not shown (always present) Lecture 8: 18

111 Pattern Detector: Moore State Diagram Lecture 8: 19

111 Pattern Detector: Mealy State Diagram Lecture 8: 20

Example FSM: Pushbutton Lock Two pushbutton inputs, X1 and X2 One output, UL ( Unlock ) UL = 1 when X1 is pushed, followed by X2 being pushed twice (X1, X2, X2) Represent X1 and X2 as two bit input 00: neither button pushed 10: X1 pushed 01: X2 pushed 11: both pushed, reset the lock Lecture 8: 21

Pushbutton Lock: Moore State Diagram Output: UL=1 with (X1, X2, X2) Input: 00 (neither), 10 (X1), 01 (X2), 11 (reset) Lecture 8: 22

Pushbutton Lock: Mealy State Diagram Output: UL=1 with (X1, X2, X2) Input: 00 (neither), 10 (X1), 01 (X2), 11 (reset) Lecture 8: 23

Next Time H&H 3.4, 4.6, 4.9 More Finite State Machines Lecture 8: 24