Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Similar documents
REGISTER TRANSFER LANGUAGE

32 bit Arithmetic Logical Unit (ALU) using VHDL

Register Transfer and Micro-operations

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Let s put together a Manual Processor

For Example: P: LOAD 5 R0. The command given here is used to load a data 5 to the register R0.

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

Implementation of Low Power High Speed 32 bit ALU using FPGA

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA

Experiment 7 Arithmetic Circuits Design and Implementation

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Computer Architecture and Organization: L04: Micro-operations

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

Field Programmable Gate Array (FPGA)

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

Topics. Midterm Finish Chapter 7

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

To design a 4-bit ALU To experimentally check the operation of the ALU

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

PINE TRAINING ACADEMY

Computer Organization

ISSN Vol.02, Issue.11, December-2014, Pages:

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

High speed Integrated Circuit Hardware Description Language), RTL (Register transfer level). Abstract:

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Design And Implementation Of Reversible Logic Alu With 4 Operations

VHDL Implementation of Arithmetic Logic Unit

An Efficient Carry Select Adder with Less Delay and Reduced Area Application

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

VLSI Based 16 Bit ALU with Interfacing Circuit

REGISTER TRANSFER AND MICROOPERATIONS

A 4-bit Arithmetic and Logical Unit with fault detection capability using an informal testing process and tested using CPLD EPM7128SLC84-15

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

FPGA Implementation of ALU Based Address Generation for Memory

Register Transfer Language and Microoperations (Part 2)

Design Development and Implementation of SPI

ISE Design Suite Software Manuals and Help


FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications

Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor

Performance Analysis of CORDIC Architectures Targeted by FPGA Devices

REALIZATION OF AN 8-BIT PROCESSOR USING XILINX

Design and Implementation of IEEE-754 Decimal Floating Point Adder, Subtractor and Multiplier

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

VHDL for Synthesis. Course Description. Course Duration. Goals

Topics. Midterm Finish Chapter 7

ECE 545 Lecture 12. FPGA Resources. George Mason University

Combinational and sequential circuits (learned in Chapters 1 and 2) can be used to create simple digital systems.

FPGA for Software Engineers

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F

REGISTER TRANSFER AND MICROOPERATIONS

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

CHAPTER 4: Register Transfer Language and Microoperations

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder

Chapter 4. Combinational Logic

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

MLR Institute of Technology

System Verification of Hardware Optimization Based on Edge Detection

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Chapter 2 Basic Logic Circuits and VHDL Description

Note that none of the above MAY be a VALID ANSWER.

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

Designing an Improved 64 Bit Arithmetic and Logical Unit for Digital Signaling Processing Purposes

FPGA Implementation of MIPS RISC Processor

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

1. Draw general diagram of computer showing different logical components (3)

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

Design of Custom Processors for the FPGA Devices

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

Implimentation of A 16-bit RISC Processor for Convolution Application

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

Design Scaled Arm 7- Soft Core Processor with Communication Techniques With Fpga

Implementation of Double Precision Floating Point Multiplier Using Wallace Tree Multiplier

The Need of Datapath or Register Transfer Logic. Number 1 Number 2 Number 3 Number 4. Numbers from 1 to million. Register

Detection Of Fault In Self Checking Carry Select Adder

Carry Select Adder with High Speed and Power Efficiency

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

Combinational Logic II

Transcription:

ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE, UCEK, JNTUK, Kakinada, Anshrapradesh, India, E-mail: amruthabindu.n@gmail.com. 2 Dept of ECE, UCEK, JNTUK, Kakinada, Andhrapradesh, India. Abstract: ALU is used to perform both arithmetic and logical operations. The arithmetic logic unit (ALU) is the core of a CPU in a computer. The adder cell is the elementary unit of an ALU. The constraints the adder has to satisfy the area, power and speed requirements. Full adder is the vital part of digital circuits employing arithmetic operation and this also basic building block like ALU, CPU etc. Adder circuit is widely used in many digital circuits not only for arithmetic operation but also adder for address generation in processors and microcontrollers. Here describes full adder circuits to make it more reliable to be used with high speed system and using this building block full adder, we are going to design and model the ALU which is core for CPU which place vital role in high speed systems. Here we are going to design two types of ALU s in which one of it can be designed using Back-end implementation that is through gate level implementation and other can be designed using Front-end implementation that is through a soft core processor (Micro Blaze Processor) with the help of serial interface. A soft core processor is used to handle the operations in ALU by using select inputs with the help of serial peripheral interface. Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. I. INTRODUCTION The full adder circuit adds three one-bit binary numbers (C in, A, B) and outputs two one-bit binary numbers, a sum (SUM) and a carry (COUT). Due to the important role played by Full adder in various arithmetic units, optimized design of Full adder to achieve low power, small size and delay is needed. The primary concern to design Full adder is to obtain low power consumption and delay in critical path and full output swing with low number of transistors to implement it. The micro processors available for use in Xilinx FPGA s with Xilinx EDK software tools can be broken down into Soft core microprocessors (Micro Blaze) and Hard core micro processors (Power PC). The Micro Blaze is a virtual microprocessor that is built by combining blocks of code called cores inside a Xilinx FPGA. In this paper, we propose an ALU design using Full adder and by using Soft core processor. The soft core processor is used to control the serial peripheral interface to handle the operations in ALU. Here using UART as the serial peripheral. A UART (Universal Asynchronous Receiver and Transmitter) is a device allowing the reception and transmission of information, in a serial and asynchronous way. II. ALU DESIGN USING SIMULINK The performance of many applications such as digital signal processing depends upon the performance of the arithmetic circuits. Fast arithmetic computation cells including adders and multipliers are the most frequently and widely used circuits in very-large-scale integration (VLSI) systems. This chapter explains in detail the 2-bit ALU design. All of the multiplexers and full adder have been implemented using logic gates. The ALU implementation must be depends on the select inputs which are to be given for multiplexer is as shown in Fig.1. Suppose if the select inputs are one bit (S 0 ) then the ALU perform two operations, similarly if the select inputs are two (S 1 and S 0 ) then it performs four operations. Fig.1. 1-bit ALU with adder, AND and OR operations. Truth table design is the efficient method compiling all those functions that are needed. Following table indicates the combination of 4 control input bits with their respective operation to be performed for the corresponding function to be implemented. Copyright @ 2014 IJSETR. All rights reserved.

N.AMRUTHA BINDU, M.SAILAJA TABLE I: Truth Table for 2 bit ALU Hence, we can add two bits together taking a carry from the next lower order of magnitude, and sending a carry to the next higher order of magnitude. In a computer, for a multi-bit operation, each bit must be represented by a full adder and must be added simultaneously. Thus, to add two 8-bit numbers, you will need 8 full adders which can be formed by cascading two of the 4-bit blocks. Hence, the two bit full adder can be implemented using Matlab-Simulink as shown as below Fig.4. A basic Full adder cell in digital computing systems is the 1-bit full adder which has three 1-bit inputs (A, B, and Cin) and two 1-bit outputs (sum and carry) is as shown in Fig.2. The relations between the inputs and the outputs are expressed as: (1) (2) Fig.4. A Full adder design using simulink. Also to design subtraction, multiplication and complement blocks like this. A Multiplexer can use addressing bits to select one of several input bits to be the output. A 4X1 multiplexer uses two control signals S0 and S1 to connect one of the four input data lines IN1, IN2, IN3 and IN4 to a single output F. The pictorial view of 4X1 multiplexer and the corresponding truth table are show in below Fig.5. Fig.2. A Conventional full adder. The gate level implementation of full adder is shown below Fig.3. Fig.3. A Gate level full adder. Fig.5. A 4:1 MUX. Hence we have to implement the 2-bit ALU with adder, subtractor, multiplier and complement operations in simulink as shown below Fig.6.

Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI Fig.6. A 2-bit ALU design using simulink. III. ALU DESIGN USING SOFT CORE PROCESSOR ALU is used to perform both arithmetic and logical operations and is the core for CPU. Here we have to implement a ALU using soft core processor (MicroBlaze) with the help of serial peripheral interface. Here the 4-bit ALU is designed and implemented by using the behavioral model to describe how the operation of ALU is being processed. This is accomplished by using VHDL (Very High Speed Integrated Circuits Hardware Description Language) in Xilinx platform. The ALU implementation can be done by three modules. They are Processor implementation to interface with UART. ALU design. A. Processor implementation In this the MicroBlaze soft core processor can be developed depends on data handling manipulations, that is to handle the serial peripheral interface (UART) and to accessing the operations in the ALU. SPI (Serial Peripheral Interface) bus, which is commonly used for communication between integrated circuits or sensors. SPI is a synchronous serial data link that operates in full duplex. Devices communicate using a master/slave protocol (shown in Fig.4), in which the master starts the data frame. When the master generates a clock then selects a slave device, data may be transferred in either or both directions simultaneously.

N.AMRUTHA BINDU, M.SAILAJA that are needed. Following table indicates the combination of 4 control input bits with their respective operation to be performed for the corresponding function to be implemented. Truth table shows the list of 15 arithmetic and logical operations performed in this design. TABLE II: Truth Table for 4 bit ALU Fig.7. Block diagram of MicroBlaze processor to interface with UART. The above Fig.7 is the soft core processor implementation to interface with serial peripheral UART(Universl Asynchonous Receiver and Transmitter). The UART is used to control the registers and is handled by the processor. The below fig.8 shows the bus interfaces of MicroBlaze processor. IV. RTL SCHEMATIC DIAGRAM OF ALU This is a schematic representation of an NGC file shown in terms of logic elements optimized to the target architecture or "technology," for example, in terms of LUTs, carry logic, I/O buffers, and other technology-specific components. It is generated after the optimization and technology targeting phase of the synthesis process. Viewing this schematic allows you to see a technology-level representation of your HDL optimized for a specific Xilinx architecture, which may help you discover design issues early in the design process is as shown in Fig.9. Fig.8. Bus Interfaces of MB. Fig.8 shows bus interfaces of Micro Blaze processor. A PLB (Processor Local Bus) connects the UART. B. ALU Design ALU is capable of calculating a wide variety of basic arithmetic and logical computations and some shift operations including rotate operations. The ALU takes as input the data to be operated on (called operands) and a code from the control unit indicating which operation to perform. The output is the result of the computation. The ALU designed will perform some of the arithmetic and logical operations of the various available operations. Truth table design is the efficient method compiling all those functions Fig.9. RTL schematic of ALU. V. RESULTS Design simulation involves testing your design using software models. It is most effective when testing the functionality of your design and its performance under worstcase conditions. Simulation is performed using Xilinx or third-party tools that are linked to the Xilinx Development System. The software models provided for your simulation tools are designed to perform detailed characterization of your design. You can perform functional or timing simulation is as shown in Fig.10.

Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI Fig.10. Simulation Result of 4 bit ALU. VI. CONCLUSION The 2 bit Arithmetic and Logical Unit can be design through Back end implementation and the Front end implementation of a 4 bit Arithmetic and Logical Unit can be designed through a Soft Core Processor implementation. VII. REFERENCES [1] A Text book Modern VLSI Design 4 th edition by Wayne Wolf. [2] XILINX Spartan User Manual. [3] Xilinx Incorporated Website, www.xilinx.com, June 2006. [4] MicroBlaze Processor Reference Guide, Xilinx Corporation, October5, 2011.