Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Similar documents
EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

Stacked Silicon Interconnect Technology (SSIT)

Xilinx SSI Technology Concept to Silicon Development Overview

TechSearch International, Inc.

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Bringing 3D Integration to Packaging Mainstream

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Design Factors Affecting Laser Cutting Parameters Line width Wider lines more heat flow Lines affect spot size larger line: wider spot Lines much

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

A Design Tradeoff Study with Monolithic 3D Integration

Moore s s Law, 40 years and Counting

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

PSMC Roadmap For Integrated Photonics Manufacturing

Three DIMENSIONAL-CHIPS

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

TechSearch International, Inc.

Transforming Electronic Interconnect. Tim Olson Founder & CTO Deca Technologies

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

Interposer Technology: Past, Now, and Future

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

Multi-Die Packaging How Ready Are We?

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Heterogeneous Integration and the Photonics Packaging Roadmap

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

inemi Roadmap Packaging and Component Substrates TWG

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

Comparison of Singulation Techniques

3-Dimensional (3D) ICs: A Survey

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Chapter 0 Introduction

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Printed and Flexible Devices for Smart Systems On Foils

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

EUV Lithography and Overlay Control

Process Technologies for SOCs

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

3D SoC and Heterogeneous Integrations

Anatomy of a Post PC Device. Prof. S.E Thompson EEE4310/5322 Fall 2017

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

ARCHIVE 2008 COPYRIGHT NOTICE

Applications for Mapper technology Bert Jan Kampherbeek

Innovation Leadership in Expanding Markets

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

3D technology evolution to smart interposer and high density 3D ICs

3D Technologies For Low Power Integrated Circuits

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Paradigms Shifts in CMP

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

Embedded Power Dies for System-in-Package (SiP)

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

CircuitsMulti-Projets

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

Advanced Packaging For Mobile and Growth Products

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

3DIC & TSV interconnects

Chapter 1 Introduction

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Embedded UTCP interposers for miniature smart sensors

CMOS TECHNOLOGY- Chapter 2 in the Text

Advancing high performance heterogeneous integration through die stacking

3D & Advanced Packaging

SRC 3D Summit. Bob Patti, CTO

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

TechSearch International, Inc.

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Smartphone Market Driving 7nm & 5nm Node 3-D Devices and Stacked Devices

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

3DIC & TSV interconnects business update

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Packaging for parallel optical interconnects with on-chip optical access

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

Technology and Manufacturing

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

Three Dimensional Integration

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Advanced Heterogeneous Solutions for System Integration

Advanced Information Storage 11

Transcription:

Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc.

3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total length Not subject to improved litho. and process Heterogeneous integration Silicon, Optoelectronic, Sensors,.. I/O, Logic, Memory, New functionality utilizing the third dimension Redundancy (WSI) Shared Litho. And processing (BiCS) 2

Monolithic 3D 10,000x the Vertical Connectivity of TSV (Through Silicon Via) 3

The Monolithic 3D Challenge Why is it not already in wide use? Thermal Budget of Upper Layer Processing < 550 o C over advanced node transistors < 400 o C over Copper or Aluminum interconnect How to bring mono-crystallized silicon on How to fabricate state-of-the-art transistors on top Misalignment of pre-processed wafer to wafer bonding step used to be ~1µm How to achieve 100nm or better connection pitch How to fabricate inter-layer vias of ~50nm 4

Outline: Layer Formation Deposit Poly silicon + crystallize by laser (Taiwan NAR Lab) Non silicon Layer Transfer Bond SOI wafer and etch/grind back IBM, MIT Lincoln Lab Ion-Cut (Smart Cut ) Smart Substrate ELTRAN (Epitaxial Layer Transfer) New Breakthrough

Outline: Overcoming the Process Heat Non silicon transistor Modified process to less than 550 o C (CoolCube) Break transistor formation into two phases, Hot-Cold Isolate Top layer from base Crème Brulee nano-tsv Precise ultra thin stratum transfer

Modified the process for low temp MonolithIC 3D Inc. Patents Pending 7

Precision Bonder Multi-Stratum M3D Utilizing the existing front-end process!!! <200 nm (3σ) Achieving 10,000x vertical connectivity as the transferred strata will be thinner than 100 nm Mix Sequential/Parallel M3D Low manufacturing costs

9

2 nd Stratum Processed on Cuttable wafer Silicon layer Substrate Etch selective - cut layer Base wafer (reused) Transferable stratum NMOS PMOS Cut Layer ~700 µm Donor Wafer 10

Flip and Bond 2 nd Stratum on Top of 1 st Stratum 2 nd Stratum NMOS PMOS Cut Layer Oxide to oxide or hybrid bonding 1 st Stratum NMOS PMOS

Cut Carrier Wafer and Connect with Nano-TSV Nano-TSV NMOS PMOS M3D Device NMOS PMOS

The Breakthrough SiGe Fab Compatible Cut Layer Use SiGe as etch stop Silicon layer SiGe - Etch selective - cut layer Base wafer - reused Use selective etch of SiGe (Dry, ~1:100) for reuse Samsung s Applied Material s Selectra

Monolithic 3D using Cuttable Substrate Utilizes existing transistor process (first ever) Leverages proven silicon processes Very competitive cost structure

The Monolithic 3D Advantages -The 1,000x Enables increased integration without size reduction Reduce average wire length by ~0.7x Heterogeneous Integration Type of wafer, Si, GaAs, GaN,.. Mix fabs and nodes, 7nm, 28nm, 130nm, Mix process, logic, memory, analog, RF,.. Multiple layers Processed Simultaneously - BiCS New functionality leveraging rich 3D connectivity Redundancy Allow connectivity from both sides

EDA for Monolithic 3D Commercial EDA is not available yet. Preliminary tools and flow has been developed Georgia Tec Qualcomm CEA Leti 2D are sufficient for the 1,000x applications!!!

Summary We have reached an inflection point Monolithic 3D would provide unparalleled advantage for most market segment Multiple practical paths to monolithic 3D exist Monolithic 3D could be now implemented by any fab without changes to front-line In 2017 30% of all transistors made will be M3D (3D NAND) =>Use correctly MonolithIC 3D would provide 1,000x better devices

Monolithic 3D Integration for 1,000x Better Computer

MonolithIC 3D Inc. Confidential 19

20

System Level Interconnect Gap Grow Source: VLSI 2013 Dr. Jack Sun, CTO of TSMC 21

23

Monolithic 3D for 1,000x better compute Processor to memory connectivity breakthrough: TPU TPU Number of wires: ~100 ~100,000 Length of wires: ~20mm ~20µm Applications: Smart-Phones IoT Computers

Replacing few mm long bus of <100 wires with few microns long bus of 2,500 x 100 wires Array of 200µ x 200µ units each with its peripherals on top 25

1,000x Better Compute System Peripherals over Memory Array Solving the Memory Wall Close proximity of memory to the logic control Allows a different process for the memory matrix than the peripherals Flip & Bond Cut away 0.1-3µ Cut Layer Memory Peripherals Donor Wafer Memory Array Memory Array

Summary 1,000x better compute Systems using M3D Multi thin layers each with thousands memory units =>~100,000 wires @ ~20µ length => 1,000x better computer system Utilizes existing memory (DRAM) and logic process Far cheaper than any other form of DRAM module Yield repaired in fabrication and in operation (field) Memory stack could serve as an generic end product Low-power (1/10), low size, low weight

New Memory Product Generic 3D Wafer Thermal isolation + pads Unit Decoder Memory Stack Controller + Generic Memory Wafer

New Memory Product Generic 3D Wafer Thermal isolation + pads Unit Decoder Memory Stack Controller + Generic Memory Wafer I/O Custom Processors Generic Memory Wafer 3D Integrated Computer

Other Approaches HBM, HMC, Tezzaron DiRAM4 2-8x more expensive Only solve the memory bank integration Only for DRAM

Alternatives for Processor Memory Connectivity Processor PCB Module DRAM 2D 5mm 10-30mm 20mm 5mm 2.5D-TSV 5mm 5-10mm 0 1mm M3D 0.5mm 0 0 0.2mm #of Wires M Cost 2D 100 1 2.5D 1,000 2-8 M3D 100,000 0.5 31