Xilinx Project Navigator Reference Guide

Similar documents
5 January ModelSim v5.7 Quick Reference Guide

Introduction to WebPACK 6.3. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Physics 623. FPGA I Construction of a Synchronous Counter Aug. 4, 2008

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Introduction to WebPACK 8.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Introduction to WebPACK 6.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Introduction to WebPACK 4.1 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

MANUAL XILINX ISE PROJECT NAVIGATOR

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Using XILINX WebPACK Software to Create CPLD Designs

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Chapter 9: Integration of Full ASIP and its FPGA Implementation

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Xilinx ISE Synthesis Tutorial

Using Synplify Pro, ISE and ModelSim

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

Physics 623. Programmable Gate Array Experiment Nov. 30, 2006

Xilinx Tutorial Basic Walk-through

Verilog Design Entry, Synthesis, and Behavioral Simulation

CPLD Experiment 4. XOR and XNOR Gates with Applications

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

Introduction to WebPACK 4.1 for CPLDs. Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Digital Circuit Design Using Xilinx ISE Tools

ECE 4305 Computer Architecture Lab #1

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Altera Quartus II Tutorial ECE 552

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

FPGA Design Flow 1. All About FPGA

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

PlanAhead Software Tutorial

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Lab 6: Intro to FPGAs

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

TLL5000 Electronic System Design Base Module

Xilinx Schematic Entry Tutorial

2001 by X Engineering Software Systems Corp., Apex, North Carolina 27502

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

Quick Front-to-Back Overview Tutorial

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

ISE Design Suite Software Manuals and Help

Circuit design with configurable devices (FPGA)

Building Combinatorial Circuit Using Behavioral Modeling Lab

PlanAhead Release Notes

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

Getting Started with Xilinx Design Tools and the XS 40 Prototyping Platform-- a User s Guide *

Laboratory #Intro to Xilinx ISE and CR-2 Kit ECE 332

FPGA Design Tutorial

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Implementation of a Fail-Safe Design in the Spartan-6 Family Using ISE Design Suite XAPP1104 (v1.0.1) June 19, 2013

Tutorial for Altera DE1 and Quartus II

Getting Started with Xilinx WebPack 13.1

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.6

Xilinx ChipScope ICON/VIO/ILA Tutorial

Introduction. About this tutorial. How to use this tutorial

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.7

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Engineering 1630 Fall Simulating XC9572XL s on the ENGN1630 CPLD-II Board

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

ELEC 204 Digital System Design LABORATORY MANUAL

Tutorial: Working with the Xilinx tools 14.4

Lab 2: Introduction to Verilog HDL and Quartus

XILINX ISE AND SPARTAN 3AN TUTORIAL

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

475 Electronics for physicists Introduction to FPGA programming

PlanAhead Software Tutorial

ECE 436 Laboratory 4 TARGETING THE XESS PROTO-BOARD AND PHYSICAL TESTING WITH A LOGIC ANALYZER

DESIGN STRATEGIES & TOOLS UTILIZED

Timing Analysis in Xilinx ISE

Introduction. In this exercise you will:

Verilog Simulation Mapping

PINE TRAINING ACADEMY

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

Transcription:

31 July 2003 Author: David M. Sendek Xilinx Project Navigator Reference Guide Background: This guide provides you with step-by-step procedures in using the Xilinx Project Navigator to perform the following: Synthesize your Very High speed Integrated Circuit Hardware Description Language (VHDL) code to a netlist Map, place and route your netlist onto a Spartan II FPGA (which is used on the XESS XSA-50 Field Programmable Gate Array (FPGA) protoboard Map Input/Output (I/O) pins to devices on the XESS XSA-50 FPGA protoboard. Generate a bit stream so the design can be downloaded onto the Spartan II FPGA residing on the XESS XSA-50 FPGA protoboard. Finally, although the downloading utility is NOT apart of the Xilinx Project Navigator, the steps to download the design are also provided here. Assumption: Students have a basic understanding of Windows Procedures: 1. To Start the Xilinx Project Navigator, Start > Engineering Applications > Xilinx ISE 5 > Project Navigator or using the following icon: 2. After starting the Xilinx Project Navigator, the following screen will be displayed: 1

3. You must create a new project, if you have not done this step before. From the Xilinx Project Navigator main menu select File > New Project. The window displayed below will be displayed. You must enter a new project name. In this case, test was used. The Device Family, Device, Package used for the XESS XSA-50 FPGA protoboard is provided. The speed grade characterizes the type of performance you require. You can opt for a speed grade of 5 (standard performance or speed grade 6 (higher performance). Speed grade 5 should suffice. For the Design Flow, if using VHDL as your source, select XST VHDL from the pull-down menu or if using Verilog as your source, select XST Verilog from the pull-down menu. Press OK. 4. After you have completed step 3, the following screen will be display with your device in the upper left hand corner of Sources in Project Window. 2

5. At this point you should make sure your already compiled and simulated VHDL source code is located in the directory you created in step 3. Next you need to add your source code to the project. In the Sources in Project window, left click on the line where XC2s50 5tq144-XST VHDL (or XC2s50 6tq144-XST) is located to highlight that entry. Using the pull-down menu (or alternatively the right mouse click) select Project > Add Source. Now enter your source code through the Add Existing Sources window by highlighting the desired source code and pressing Open. Note if you are using a hierarchical design, enter the high level module first. You may be asked to clarify what is the source via the Choose Source Type window. Make sure VHDL Module is highlighted and press OK. 6. Xilinx Project Navigator will load your design and the windows will look something similar to what is illustrated below. It should be noted that the example used in this tutorial is a hierarchical design. It has an ARCHITECTURE block with two components (counter & leddcd). The high-level ARCHITECTURE is defined in the file counter7segment.vhd. If using a hierarchical design, the other files must be added. Repeat step 5, but highlight each component to load its associated VHDL file. If you successfully complete this action, there will be check marks to the left of your design name(s) 3

7. Now you are ready to synthesize your design to make a netlist. To begin with the options of the Processes for Current Source Window is provided below. 4

5

To synthesize your design, double left click on Synthesize in the Processes for Current Source: window or right click on Synthesize and select Run or Rerun (the latter instructions will depend on whether or not you have selected to synthesize your design on an earlier occasion). The following will result: 6

7. Now you are ready to route, place and map your netlist onto a Spartan II FPGA (which is used on the XESS XSA-50 FPGA protoboard). To route, place and map your design, double left click on Implement Design in the Processes for Current Source: window or right click on Implement Design and select Run or Rerun (the latter instructions will depend on whether or not you have selected to route, place and map your design on an earlier occasion). The following will result: 7

8. Before you generate your bit stream to download onto the FPGA, you must first define your I/O to be used on the XESS XSA-50 FPGA protoboard. The pin definitions for various I/O devices used in EE451 can be found in the EE451 website under Tips > XESS Corporation FPGA Protoboard > I/O Pin Mappings. In this particular example, we will define the clock input and outputs to a 7-segment display. First we must attach an implementation or user constraints file (or *.ucf file) to the XC2s50 5tq144-XST VHDL (or XC2s50 6tq144-XST) definition of the FPGA. To do this, highlight the XC2s50 5tq144-XST VHDL (or XC2s50 6tq144-XST) in the Sources in Project: window. Using the pull-down menu (or alternatively the right mouse click) select Project > New Source. A New window will be displayed as illustrated below. Highlight the Implementation Constraints File entry and enter a name of your implementation constraints file. Press Next. 8

In a hierarchical design, select you top-level source code filename as illustrated below and press Next. The following screen will be displayed. Simply press Finish. 9

In the Sources in Project: window the *.ucf file is now added to your design. Make sure you highlight your top-level design in the Sources in Project: window. In the Processes For Current Sources: window, double click on the following application: User Constraints > Create Timing Constraints. The Xilinx Constraints Editor Window will be displayed. Ensure you have the Ports tab selected. You can enter the I/O to/from your FPGA as being used on the XESS XSA-50 FPGA protoboard. Ensure you enter a P for pin before each pin number. The results are shown below. Make sure you save the mappings to the *.ucf file that you just created for possible future use. In the Xilinx Constraints Editor pull-down menu, select File > Save. If you use this file in the future, you do not need to create it as in step 8. All you do is add the *.ucf file to your design. Additionally, since the route, place and map procedures done in step 7 mapped I/O without a user constraints file, you may have to repeat step 7 with the user constraints file that you just created. 10

9. Now you are ready to create the bit stream to be downloaded to the XESS XSA-50 FPGA protoboard. Ensure your design is selected in the Sources in Project: window. In the Processes for Current Source: window, double left click on Generate Programming File or right click on Generate Programming File and select Run or Rerun (the latter instructions will depend on whether or not you have selected to create a bit stream on an earlier occasion). The following will result: 11

12

10. Now you are ready to download your bit stream onto the XESS XSA-50 FPGA protoboard. To accomplish this, we must use the XESS GXSLOAD utility. The utility can be found in Start > Engineering Applications > XESS > GXSLOAD or using the following icon: The following application will be started for download. Use the My Computer windows feature to go to the subdirectory where your subdirectory you created in step 3 is located. Simply drag the *.bit file into the FPGA/CPLD of the GXSLOAD utility. Now press Load. The bit stream will be downloaded onto the XESS XSA-50 FPGA protoboard. 11. Now you can demonstrate that your design is properly working. Helpful Tools Associated with Xilinx Project Navigator: In the Processes for Current Source: window there are some utilities and reports that can help you with your design. 1. To observe where your signals were mapped onto the FPGA, your can look at the PAD Report. This can be found at Implement Design > Place & Route > Pad Report 2. Once your constraints file is created, instead of using the Xilinx Constraints Editor, you can simply edit the results. This can be done by executing User Constraints > Edit Constraints (Text) or you can go back to the Xilinx Constraints Editor by executing User Constraints > Create Timing Constraints 13