CSE 502 Graduate Computer Architecture

Similar documents
CSE820 Week 2 - Introduction

B649 Graduate Computer Architecture. Lec 1 - Introduction

CS654 Advanced Computer Architecture. Lec 1 - Introduction

B649 Graduate Computer Architecture. Lec 2 - Introduction. Slides derived from David Patterson

CMSC 411 Computer Systems Architecture Lecture 2 Trends in Technology. Moore s Law: 2X transistors / year

MOTIVATION. B649 Parallel Architectures and Programming

Technology. Giorgio Richelli

CS654 Advanced Computer Architecture. Lec 3 - Introduction

PERFORMANCE MEASUREMENT

Review from last lecture. EECS 252 Graduate Computer Architecture. Lec 2 - Introduction. Outline. Review: Computer Architecture brings

CSE 502 Graduate Computer Architecture

CSE 502 Graduate Computer Architecture

Advanced Computer Architecture

5008: Computer Architecture

CS252 S05 1. Review from last lecture. CSE820 Lec 2 - Introduction. Outline. Review: Computer Architecture brings. Moore s Law: 2X transistors / year

CS654 Advanced Computer Architecture. Lec 2 - Introduction

Fundamentals of Computer Design

S252 S05 1. COSC 5352 Advanced Computer Architecture

CS252 S05 1. Outline. CSCI 211 Computer System Architecture. Déjà vu all over again? Sea Change in Chip Design. Processor is the new transistor?

EI 338: Computer Systems Engineering (Operating Systems & Computer Architecture)

Lecture - 4. Measurement. Dr. Soner Onder CS 4431 Michigan Technological University 9/29/2009 1

Computer Architecture

ECE 475/CS 416 Computer Architecture - Introduction. Today s Agenda. Edward Suh Computer Systems Laboratory

Computer Architecture Lecture 1: Fundamentals of Quantitative Design and Analysis (Chapter 1)

Performance COE 403. Computer Architecture Prof. Muhamed Mudawar. Computer Engineering Department King Fahd University of Petroleum and Minerals

Part 1: Computer Architecture s New Definition

Computer Architecture. R. Poss

CS61CL Machine Structures. Lec 5 Instruction Set Architecture

Introduction to Computer Architecture II

Evaluating Computers: Bigger, better, faster, more?

Performance, Power, Die Yield. CS301 Prof Szajda

EECS 252 Graduate Computer Architecture. Lec 1 - Introduction

John Lazzaro ( Dave Patterson ( www-inst.eecs.berkeley.

Instruction Set Principles. (Appendix B)

CSE 502 Graduate Computer Architecture

Fundamentals of Quantitative Design and Analysis

Review: Moore s Law. EECS 252 Graduate Computer Architecture Lecture 2. Bell s Law new class per decade

Advanced Computer Architecture (CS620)

Review from last lecture. EECS 252 Graduate Computer Architecture. Lec 4 Memory Hierarchy Review. Outline. Example Standard Deviation: Last time

Lecture 1: Introduction

Transistors and Wires

Lecture 1: Introduction

EE282 Computer Architecture. Lecture 1: What is Computer Architecture?

EECS4201 Computer Architecture

Performance of computer systems

DEPARTMENT OF ECE IV YEAR ECE EC6009 ADVANCED COMPUTER ARCHITECTURE LECTURE NOTES

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

Computing Devices Then EECS 252 Graduate Computer Architecture

CS/EE 6810: Computer Architecture

Computer Systems Architecture Spring 2016

EE282H: Computer Architecture and Organization. EE282H: Computer Architecture and Organization -- Course Overview

Copyright 2012, Elsevier Inc. All rights reserved.

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology

EECS2021. EECS2021 Computer Organization. EECS2021 Computer Organization. Morgan Kaufmann Publishers September 14, 2016

Outline Marquette University

CSE502 Graduate Computer Architecture. Lec 22 Goodbye to Computer Architecture and Review

CISC 662 Graduate Computer Architecture. Lecture 4 - ISA

Introduction to Multicore architecture. Tao Zhang Oct. 21, 2010

Lecture 2: Computer Performance. Assist.Prof.Dr. Gürhan Küçük Advanced Computer Architectures CSE 533

Computer Architecture. The Language of the Machine

Lec 25: Parallel Processors. Announcements

Multiple Issue ILP Processors. Summary of discussions

Computer and Information Sciences College / Computer Science Department CS 207 D. Computer Architecture

CSEE W4824 Computer Architecture Fall 2012

Instructor Information

CISC 662 Graduate Computer Architecture Lecture 16 - Cache and virtual memory review

Advanced Computer Architecture Week 1: Introduction. ECE 154B Dmitri Strukov

Computer Architecture. MIPS Instruction Set Architecture

CPU Pipelining Issues

Response Time and Throughput

Lecture 2: Performance

ECE 588/688 Advanced Computer Architecture II

Advanced Computer Architecture

Review: Performance Latency vs. Throughput. Time (seconds/program) is performance measure Instructions Clock cycles Seconds.

EECS 322 Computer Architecture Superpipline and the Cache

EN2910A: Advanced Computer Architecture Topic 02: Review of classical concepts

CSE 502 Graduate Computer Architecture. Lec 6-7 Memory Hierarchy Review

CS 352H Computer Systems Architecture Exam #1 - Prof. Keckler October 11, 2007

CSCI 402: Computer Architectures. Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI.

Computer Architecture s Changing Definition

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 1. Computer Abstractions and Technology

TDT Coarse-Grained Multithreading. Review on ILP. Multi-threaded execution. Contents. Fine-Grained Multithreading

MEASURING COMPUTER TIME. A computer faster than another? Necessity of evaluation computer performance

Advanced Computer Architecture. Administrative Issues

ECE 486/586. Computer Architecture. Lecture # 8

Computer Systems Laboratory Sungkyunkwan University

Computer Architecture. Minas E. Spetsakis Dept. Of Computer Science and Engineering (Class notes based on Hennessy & Patterson)

CS3350B Computer Architecture CPU Performance and Profiling

CISC 662 Graduate Computer Architecture. Lecture 4 - ISA MIPS ISA. In a CPU. (vonneumann) Processor Organization

Computer Architecture. Fall Dongkun Shin, SKKU

Lecture Topics. Branch Condition Options. Branch Conditions ECE 486/586. Computer Architecture. Lecture # 8. Instruction Set Principles.

Review: latency vs. throughput

LECTURE 1. Introduction

Computer Architecture and System

Tutorial 11. Final Exam Review

Review of instruction set architectures

CSE 502 Graduate Computer Architecture. Lec 5-6 Memory Hierarchy Review

Computer Architecture. Introduction. Lynn Choi Korea University

CpE 442 Introduction to Computer Architecture. The Role of Performance

Transcription:

CSE 502 Graduate Computer Architecture Lec 1-3 - Introduction Larry Wittie Computer Science, StonyBrook University http://www.cs.sunysb.edu/~cse502 and ~lw Slides adapted from David Patterson, UC-Berkeley cs252-s06 1/25,27 + 2/1/2010 1 CSE502-S10, Lec 01-3 - intro

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? What Computer Architecture brings to table Quantitative Principles of Design Technology Performance Trends Careful, Quantitative Comparisons 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 2

Crossroads: Conventional Wisdom in Comp. Arch Old Conventional Wisdom: Power is free, Transistors expensive New Conventional Wisdom: Power wall Power expensive, Xtors free (Can put more on chip than can afford to turn on) Old CW: Can increase Instruction Level Parallelism more via compilers, innovation (Out-of-order, speculation, VLIW, ) New CW: ILP wall law of diminishing returns on more HW for ILP Old CW: Multiplies are slow, Memory access is fast New CW: Memory wall Memory slow, multiplies fast (200 clock cycles to DRAM memory, 4 clocks for multiply) Old CW: Uniprocessor performance 2X / 1.5 yrs New CW: Power Wall + ILP Wall + Memory Wall = Brick Wall Uniprocessor performance now 2X / 5(?) yrs Sea change in chip design: multiple cores (2X processors per chip / ~ 2 years)» Increase on-chip number of simple processors that are power efficient» Simple processor cores use less power per useful calculation done 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 3

Crossroads: Uniprocessor Performance 10000 From Hennessy and Patterson, Computer Architecture: A Quantitative Approach, 4th edition, October, 2006??%/year Performance (vs. VAX-11/780) 1000 100 10 25%/year 52%/year 1 1978 1980 1982 1984 1986 1988 1990 1992 1994 1996 1998 2000 2002 2004 2006 VAX : 25%/year 1978 to 1986 RISC + x86: 52%/year 1986 to 2002 RISC + x86:??%/year 2002 to 2006 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 4

Sea Change in Chip Design Intel 4004 (1971): 4-bit processor, 2312 transistors, 0.4 MHz, 10 micron PMOS, 11 mm 2 chip RISC II (1983): 32-bit, 5 stage pipeline, 40,760 transistors, 3 MHz, 3 micron NMOS, 60 mm 2 chip (6 x 10 mm) Today (2006) 125 mm 2 chip, 0.065 micron CMOS = 2312 RISC II+FPU+Icache+Dcache RISC II shrinks to ~ 0.02 mm 2 at 65 nm Caches via DRAM or 1 transistor SRAM (www.t-ram.com)? Proximity Communication via capacitive coupling at > 1 TB/s? (Ivan Sutherland @ Sun / Berkeley) Processor is the new transistor? 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 5

Déjà vu all over again? Multiprocessors imminent in 1970s, 80s, 90s, today s processors are nearing an impasse as technologies approach the speed of light.. David Mitchell, The Transputer: The Time Is Now (1989) Transputer was premature Custom multiprocessors strove to lead uniprocessors Procrastination rewarded: 2X seq. perf. / 1.5 years We are dedicating all of our future product development to multicore designs. This is a sea change in computing Paul Otellini, President, Intel (2004) Difference is all microprocessor companies switch to multiprocessors (AMD, Intel, IBM, Sun; all new Apples 2 CPUs) Procrastination penalized: 2X sequential perf. / 5 yrs Biggest programming challenge: going from 1 to 2 CPUs 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 6

Problems with Sea Change Algorithms, Programming Languages, Compilers, Operating Systems, Architectures, Libraries, not ready to supply Thread Level Parallelism or Data Level Parallelism for 1000 CPUs / chip, Architectures not ready for 1000 CPUs / chip Unlike Instruction Level Parallelism, cannot be solved by just by computer architects and compiler writers alone, but also cannot be solved without participation of computer architects This edition of CSE 502 (and 4 th Edition of textbook Computer Architecture: A Quantitative Approach) explores shift from Instruction Level Parallelism to Thread Level Parallelism / Data Level Parallelism 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 7

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? What Computer Architecture brings to table Quantitative Principles of Design Technology Performance Trends Careful, Quantitative Comparisons 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 8

Instruction Set Architecture: Critical Interface The computing system as seen by programmers software instruction set hardware Properties of a good abstraction Lasts through many generations (portability) Used in many different ways (generality) Provides convenient functionality to higher levels Permits an efficient implementation at lower levels What matters today is performance of complete computer systems 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 9

r0 r1 r31 PC lo hi Example: MIPS 0 Arithmetic logical Programmable storage 2^32 x bytes 31 x 32-bit GPRs (R0=0) 32 x 32-bit FP regs (paired DP) HI, LO, PC Add, AddU, Sub, SubU, And, Or, Xor, Nor, SLT, SLTU, AddI, AddIU, SLTI, SLTIU, AndI, OrI, XorI, LUI SLL, SRL, SRA, SLLV, SRLV, SRAV Memory Access Control LB, LBU, LH, LHU, LW, LWL,LWR SB, SH, SW, SWL, SWR J, JAL, JR, JALR BEq, BNE, BLEZ,BGTZ,BLTZ,BGEZ,BLTZAL,BGEZAL Data types? Format? Addressing Modes? 32-bit instructions on word boundary 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 10

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? What Computer Architecture brings to table Quantitative Principles of Design Technology Performance Trends Careful, Quantitative Comparisons 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 11

CSE502: Administrivia Instructor: Prof Larry Wittie Office/Lab: 1308 CompSci, lw AT icdotsunysbdotedu Office Hours: MW, 3:45-5:15 pm, if door open, or appt. T. A.: To Be Determined Class: MW, 2:20-3:40 pm 2120 Comp Sci Text: Computer Architecture: A Quantitative Approach, 4th Ed. (Oct, 2006), ISBN 0123704901 or 978-0123704900, $60 Amazon F09 Web page: http://www.cs.sunysb.edu/~cse502/ First reading assignment: Chapter 1 for today, Monday Appendix A (at back of text) for Wednesday 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 12

CSE 502 Course Focus Understanding design techniques, machine structures, technology factors & evaluation methods that will determine the form of computers in 21st Century Applications Operating Systems Technology Parallelism Computer Architecture: Organization Hardware/Software Boundary Measurement & Evaluation Programming Languages Interface Design (ISA) Compilers History Computer architecture is at a crossroads Institutionalization and renaissance Power, dependability, multi CPU vs. 1 CPU performance 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 13

Coping with CSE 502 Undergrads must have taken CSE320 Grad Students with too varied background? You will have a difficult time if you have not had an undergrad course using a Hennessy & Patterson text. Grads without CSE320 equivalent may have to work hard; Review: CSE502 text Appendix A, B, C; the CSE320 home page; and maybe CSE320 text Computer Organization and Design (COD) 3/e Read chapters 1 to 8 of COD if you never took the prerequisite If took a class, be sure COD Chapters 2, 6, 7 are very familiar We will spend 2 week-long lectures on review of Pipelining (App. A) and Memory Hierarchy (App. C), before an in-class quiz to check if everyone is OK. 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 14

Grading 18% Homeworks (practice for the exams) 74% Exams: {4% Quiz, 20% Midterm, 50% Final Exam} 8% (Optional) Research Project (work in pairs) you need to show initiative Pick a topic (more on this later) give oral presentation or poster session written report like a conference paper 5 weeks work full-time for 2 people opportunity to do research in the small to help make transition from good undergrad student to research colleague I may add up to 3% to a student s final score, usually given only to people showing marked improvement during the course. 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 15

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? What Computer Architecture brings to table Quantitative Principles of Design Technology Performance Trends Careful, Quantitative Comparisons 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 16

What Computer Architecture Brings to Table Quantitative Principles of Design 1. Take Advantage of Parallelism 2. Principle of Locality 3. Focus on the Common Case 4. Amdahl s Law 5. The Processor Performance Equation Culture of anticipating and exploiting advances in technology- technology performance trends Careful, quantitative comparisons Define, quantify, and summarize relative performance Define and quantify relative cost Define and quantify dependability Define and quantify power Culture of crafting well-defined interfaces that are carefully implemented and thoroughly checked 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 17

1) Taking Advantage of Parallelism Increasing throughput of server computer via multiple processors or multiple disks Detailed HW design Carry lookahead adders uses parallelism to speed up computing sums from linear to logarithmic in number of bits per operand Multiple memory banks searched in parallel in set-associative caches Pipelining: overlap instruction execution to reduce the total time to complete an instruction sequence. Not every instruction depends on immediate predecessor executing instructions completely/partially in parallel possible Classic 5-stage pipeline: 1) Instruction Fetch (Ifetch), 2) Register Read (Reg), 3) Execute (ALU), 4) Data Memory Access (Dmem), 5) Register Write (Reg) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 18

Pipelined Instruction Execution Is Faster Time (clock cycles) I n s t r. Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Ifetch Reg Ifetch ALU Reg DMem ALU Reg DMem Reg O r d e r Ifetch Reg ALU DMem Reg Ifetch Reg ALU DMem Reg 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 19

Limits to Pipelining Hazards prevent next instruction from executing during its designated clock cycle Structural hazards: attempt to use the same hardware to do two different things at once Data hazards: Instruction depends on result of prior instruction still in the pipeline Control hazards: Caused by delay between the fetching of instructions and decisions about changes in control flow (branches and jumps). Time (clock cycles) I n s t r. Ifetch Reg Ifetch ALU Reg Ifetch DMem ALU Reg Reg DMem ALU Reg DMem Reg O r d e r Ifetch Reg ALU DMem Reg 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 20

2) The Principle of Locality => Caches ($) The Principle of Locality: Programs access a relatively small portion of the address space at any instant of time. Two Different Types of Locality: Temporal Locality (Locality in Time): If an item is referenced, it will tend to be referenced again soon (e.g., loops, reuse) Spatial Locality (Locality in Space): If an item is referenced, items whose addresses are close by tend to be referenced soon (e.g., straight-line code, array access) For 30 years, HW has relied on locality for memory perf. P $ MEM 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 21

Capacity Access Time Cost Levels of the Memory Hierarchy CPU Registers 100s Bytes 300 500 ps (0.3-0.5 ns) L1 and L2 Cache 10s-100s K Bytes ~1 ns - ~10 ns $1000s/ GByte Main Memory G Bytes 80ns- 200ns ~ $100/ GByte Disk 10s T Bytes, 10 ms (10,000,000 ns) ~ $0.25 / GByte Tape Vault Semi-infinite sec-min ~$1 / GByte Registers L1 Cache Memory Disk Tape Instr. Operands Blocks L2 Cache Blocks Pages Files Staging Xfer Unit prog./compiler 1-8 bytes cache cntlr 32-64 bytes cache cntlr 64-128 bytes OS 4K-8K bytes user/operator Mbytes Upper Level Faster Lower Level 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 22 Larger

3) Focus on the Common Case Make Frequent Case Fast and Rest Right Common sense guides computer design Since its engineering, common sense is valuable In making a design trade-off, favor the frequent case over the infrequent case E.g., Instruction fetch and decode unit used more frequently than multiplier, so optimize it first E.g., If database server has 50 disks / processor, storage dependability dominates system dependability, so optimize it 1st Frequent case is often simpler and can be done faster than the infrequent case E.g., overflow is rare when adding 2 numbers, so improve performance by optimizing more common case of no overflow May slow down overflow, but overall performance improved by optimizing for the normal case What is frequent case and how much performance improved by making case faster => Amdahl s Law 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 23

4) Amdahl s Law - Partial Enhancement Limits ExTimenew = ExTimeold 1 ExTimeold Speedupoverall = = ExTime new ( 1 Fraction ) Best to ever achieve: Speedup max = Fractionenhanced ( Fractionenhanced ) + enhanced Speedup Fraction Speedup enhanced enhanced 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 24 1 + 1 enhanced ( ) 1 - Fraction enhanced Example: An I/O bound server gets a new CPU that is 10X faster, but 60% of server time is spent waiting for I/O. Speedup overall = 1 ( 1 0.4) + 0.4 10 = 1 0.64 = 1.56 A 10X faster CPU allures, but the server is only 1.6X faster.

5) Processor performance equation CPI Inst count Cycle time CPU time = Seconds = Instructions x Cycles x Seconds Program Program Instruction Cycle CPU time = Inst Count x CPI x Clock Cycle Program X Compiler X (X) Inst. Set. X X Organization X X Technology X 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 25

What Determines a Clock Cycle? Latch or register combinational logic At transition edge(s) of each clock pulse, state devices sample and save their present input signals Past: 1 cycle = time for signals to pass 10 levels of gates Today: determined by numerous time-of-flight issues + gate delays clock propagation, wire lengths, drivers 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 26

What Computer Architecture brings to Table Quantitative Principles of Design 1. Take Advantage of Parallelism 2. Principle of Locality 3. Focus on the Common Case 4. Amdahl s Law 5. The Processor Performance Equation Culture of anticipating and exploiting advances in technology- technology performance trends Careful, quantitative comparisons Define, quantify, and summarize relative performance Define and quantify relative cost Define and quantify dependability Define and quantify power Culture of well-defined interfaces that are carefully implemented and thoroughly checked 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 27

Moore s Law: 2X transistors / year [or 2] Cramming More Components onto Integrated Circuits Gordon Moore, Electronics, 1965 # on transistors / cost-effective integrated circuit double every N months (12 N 24) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 28

Tracking Technology Performance Trends Drill down into 4 technologies: Disks, Memory, Network, Processors Compare ~1980 Archaic (Nostalgic) vs. ~2000 Modern (Newfangled) Performance Milestones in each technology Compare for Bandwidth vs. Latency improvements in performance over time Bandwidth: number of events per unit time E.g., M bits / second over network, M bytes / second from disk Latency: elapsed time for a single event E.g., one-way network delay in microseconds, average disk access time in milliseconds 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 29

Disks: Archaic(Nostalgic) v. Modern(Newfangled) CDC Wren I, 1983 3600 RPM 0.03 GBytes capacity Tracks/Inch: 800 Bits/Inch: 9,550 Three 5.25 platters Bandwidth: 0.6 MBytes/sec Latency: 48.3 ms Cache: none Seagate 373453, 2003 15000 RPM 73.4 GBytes (4X) (2500X) Tracks/Inch: 64,000 (80X) Bits/Inch: 533,000 (60X) Four 2.5 platters (in 3.5 form factor) Bandwidth: 86 MBytes/sec (140X) Latency: 5.7 ms Cache: 8 MBytes (8X) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 30

Latency Lags Bandwidth (for last ~20 years) 10000 Performance Milestones 1000 Relative BW 100 Improve ment Disk 10 1 (Latency improvement = Bandwidth improvement) 1 10 100 Relative Latency Improvement Disk: 3600, 5400, 7200, 10000, 15000 RPM (8x, 143x) (Latency = simple operation w/o contention, BW = best-case) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 31

Memory: Archaic (Nostalgic) v. Modern (Newfangled) 1980 DRAM (asynchronous) 0.06 Mbits/chip 64,000 xtors, 35 mm 2 16-bit data bus per module, 16 pins/chip 13 Mbytes/sec Latency: 225 ns (no block transfer) 2000 Double Data Rate Synchr. (clocked) DRAM 256.00 Mbits/chip (4000X) 256,000,000 xtors, 204 mm 2 64-bit data bus per DIMM, 66 pins/chip (4X) 1600 Mbytes/sec (120X) Latency: 52 ns (4X) Block transfers (page mode) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 32

Latency Lags Bandwidth (for last ~20 years) 10000 Performance Milestones 1000 Relative BW 100 Improve ment 10 1 Memory Disk (Latency improvement = Bandwidth improvement) 1 10 100 Relative Latency Improvement Memory Module: 16bit plain DRAM, Page Mode DRAM, 32b, 64b, SDRAM, DDR SDRAM (4x,120x) Disk: 3600, 5400, 7200, 10000, 15000 RPM (8x, 143x) (Latency = simple operation w/o contention, BW = best-case) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 33

LANs: Archaic (Nostalgic) v. Modern (Newfangled) Ethernet 802.3 Year of Standard: 1978 10 Mbits/s link speed Latency: 3000 µsec Shared media Coaxial cable Ethernet 802.3ae Year of Standard: 2003 10,000 Mbits/s (1000X) link speed Latency: 190 µsec Switched media Category 5 copper wire (15X) Coaxial Cable: Plastic Covering Braided outer conductor Insulator Copper core "Cat 5" is 4 twisted pairs in bundle Twisted Pair: Copper, 1mm thick, twisted to avoid antenna effect 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 34

Latency Lags Bandwidth (for last ~20 years) 10000 Performance Milestones 1000 Relative BW 100 Improve ment 10 1 Memory Network Disk (Latency improvement = Bandwidth improvement) 1 10 100 Relative Latency Improvement Ethernet: 10Mb, 100Mb, 1000Mb, 10000 Mb/s (16x,1000x) Memory Module: 16bit plain DRAM, Page Mode DRAM, 32b, 64b, SDRAM, DDR SDRAM (4x,120x) Disk: 3600, 5400, 7200, 10000, 15000 RPM (8x, 143x) (Latency = simple operation w/o contention, BW = best-case) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 35

CPUs: Archaic (Nostalgic) v. Modern (Newfangled) 1982 Intel 80286 12.5 MHz 2 MIPS (peak) Latency 320 ns 134,000 xtors, 47 mm 2 16-bit data bus, 68 pins Microcode interpreter, separate FPU chip (no caches) 2001 Intel Pentium 4 1500 MHz = 1.5 GHz (120X) 4500 MIPS (peak) (2250X) Latency 15 ns (20X) 42,000,000 xtors, 217 mm 2 64-bit data bus, 423 pins 3-way superscalar, Dynamic translate to RISC, Superpipelined (22 stage), Out-of-Order execution On-chip 8KB Data caches, 96KB Instr. Trace cache, 256KB L2 cache 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 36

Δ.Latency Lags Δ.Bandwidth (for last 20 yrs) 10000 CPU high, Memory low ( Memory Wall ) 1000 Relative BW 100 Improve ment 10 1 Memory Processor Network Disk (Latency improvement = Bandwidth improvement) 1 10 100 Relative Latency Improvement Performance Milestones Processor: 286, 386, 486, Pentium, Pentium Pro, Pentium 4 (21x,2250x) Ethernet: 10Mb, 100Mb, 1000Mb, 10000 Mb/s (16x,1000x) Memory Module: 16bit plain DRAM, Page Mode DRAM, 32b, 64b, SDRAM, DDR SDRAM (4x,120x) Disk : 3600, 5400, 7200, 10000, 15000 RPM (8x, 143x) (Latency = simple operation w/o contention, BW = best-case) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 37

6 Reasons Latency Lags Bandwidth 1. Moore s Law helps BW more than latency Faster transistors, more transistors, more pins help Bandwidth (cf.,microprocessing Unit, Dynamic RAM)» MPU Transistors: 0.130 vs. 42 M xtors (300X)» DRAM Transistors: 0.064 vs. 256 M xtors (4000X)» MPU Pins: 68 vs. 423 pins (6X)» DRAM Pins: 16 vs. 66 pins (4X) Smaller, faster transistors but communicating over (relatively) longer lines: limits latency» Feature size: 1.5 to 3 vs. 0.18 micron (8X,17X)» MPU Die Size: 35 vs. 204 mm 2 (ratio sqrt 2X)» DRAM Die Size: 47 vs. 217 mm 2 (ratio sqrt 2X) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 38

6 Reasons Latency Lags Bandwidth (cont d) 2. Distance limits latency Size of DRAM block long bit and word lines most of DRAM access time Speed of light and computers on network 1. & 2. explains linear latency vs. square BW? 3. Bandwidth easier to sell ( bigger=better ) E.g., 10 Gbits/s Ethernet ( 10 Gig ) vs. 10 µsec latency Ethernet 4400 MB/s DIMM ( PC4400 ) vs. 50 ns latency Even if it is just marketing, customers are now trained Since bandwidth sells, more resources thrown at bandwidth, which further tips the balance 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 39

6 Reasons Latency Lags Bandwidth (cont d) 4. Latency helps BW, but not vice versa Spinning disk faster improves both bandwidth and rotational latency» 3600 RPM 15000 RPM = 4.2X» Average rotational latency: 8.3 ms 2.0 ms» Things being equal, also helps BW by 4.2X Lower DRAM latency More access/second (higher bandwidth) Higher linear density helps disk BW (and capacity), but not disk Latency» 9,550 BPI 533,000 BPI 60X in BW 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 40

6 Reasons Latency Lags Bandwidth (cont d) 5. Bandwidth hurts latency Queues help Bandwidth, hurt Latency (Queuing Theory) Adding chips to widen a memory module increases Bandwidth but higher fan-out on address lines may increase Latency 6. Operating System overhead hurts Latency more than Bandwidth Long messages amortize overhead; overhead bigger part of short messages It takes longer to create and to send a long message, which is needed instead of a short message to lessen average cost per data byte of fixed size message overhead. Bandwidth problems can be solved with $$, latency problems need prayer (to make light go faster). 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 41

Summary of Technology Trends For disk, LAN, memory, and microprocessor, bandwidth improves by more than the square of latency improvement In the time that bandwidth doubles, latency improves by no more than 1.2X to 1.4X Lag of gains for latency vs bandwidth probably even larger in real systems, as bandwidth gains multiplied by replicated components Multiple processors in a cluster or even on a chip Multiple disks in a disk array Multiple memory modules in a large memory Simultaneous communication in switched local area networks (LANs) HW and SW developers should innovate assuming Latency Lags Bandwidth If everything improves at the same rate, then nothing really changes When rates vary, good designs require real innovation 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 42

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? Technology Trends: Culture of tracking, anticipating and exploiting advances in technology Careful, quantitative comparisons: 1. Define and quantify power 2. Define and quantify dependability 3. Define, quantify, and summarize relative performance 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 43

Define and quantify power ( 1 / 2) For CMOS chips, traditional dominant energy use has been in switching transistors, called dynamic power 2 Powerdynamic = 1/ 2 CapacitiveLoad Voltage FrequencySwitched For mobile devices, energy is a better metric 2 Energydynamic = CapacitiveLoad Voltage For a fixed task, slowing clock rate (the switching frequency) reduces power, but not energy Capacitive load is function of number of transistors connected to output and the technology, which determines the capacitance of wires and transistors Dropping voltage helps both, so ICs went from 5V to 1V To save energy & dynamic power, most CPUs now turn off clock of inactive modules (e.g. Fltg. Pt. Arith. Unit) If a 15% voltage reduction causes a 15% reduction in frequency, what is the impact on dynamic power? New power/old = 0.85 2 x 0.85 = 0.85 3 = 0.614 39% reduction {volt 2 x freq} 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 44

Define and quantify power (2 / 2) Because leakage current flows even when a transistor is off, now static power important too Power Current static = static Voltage Leakage current increases in processors with smaller transistor sizes Increasing the number of transistors increases power even if they are turned off In 2006, the goal for leakage is 25% of total power consumption; high performance designs allow 40% Very low power systems even gate voltage to inactive modules to reduce losses because of leakage currents 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 45

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? Technology Trends: Culture of tracking, anticipating and exploiting advances in technology Careful, quantitative comparisons: 1. Define and quantify power 2. Define and quantify dependability 3. Define, quantify, and summarize relative performance 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 46

Define and quantify dependability (1/3) How to decide when a system is operating properly? Infrastructure providers now offer Service Level Agreements (SLA) which are guarantees how dependable their networking or power service will be Systems alternate between two states of service: 1. Service accomplishment (working), where the service is delivered as specified in SLA 2. Service interruption (not working), where the delivered service is different from the SLA Failure = transition from state 1 (working) to state 2 Restoration = transition from state 2 (not) to state 1 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 47

Define and quantity dependability (2/3) Module reliability = measure of continuous service accomplishment (or time to failure). 1. Mean Time To Failure (MTTF) measures Reliability 2. Failures In Time (FIT) = 1/MTTF, the failure rate Usually reported as failures per billion hours of operation Mean Time To Repair (MTTR) measures Service Interruption Mean Time Between Failures (MTBF) = MTTF+MTTR Module availability measures service as alternate between the two states of accomplishment and interruption (number between 0 and 1, e.g. 0.9) Module availability = MTTF / ( MTTF + MTTR) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 48

Example calculating reliability If modules have exponentially distributed lifetimes (the age of a module does not affect its probability of failure), the overall failure rate (FIT) is the sum of failure rates of the modules Calculate FIT (rate) and MTTF (1/rate) for 10 disks (1M hour MTTF per disk), 1 disk controller (0.5M hour MTTF), and 1 power supply (0.2M hour MTTF): { x 10 9 } 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 49

Outline Computer Science at a Crossroads Computer Architecture v. Instruction Set Arch. How would you like your CSE502? Technology Trends: Culture of tracking, anticipating and exploiting advances in technology Careful, quantitative comparisons: 1. Define and quantify power 2. Define and quantify dependability 3. Define, quantify, and summarize relative performance 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 50

Definition: Performance Performance is in units of things-done per second bigger is better If we are primarily concerned with response time performance(x) = 1 execution_time(x) " X is N times faster than Y" means Performance(X) Execution_time(Y) N = = Performance(Y) Execution_time(X) The Speedup = N mushroom : The BIG Time the little time 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 51

Performance: What to measure Usually rely on benchmarks vs. real workloads To increase predictability, collections of benchmark applications, called benchmark suites, are popular SPECCPU: popular desktop benchmark suite CPU only, split between integer and floating point programs SPECint2000 had 12 integer, SPECfp2000 had 14 integer codes SPEC CPU2006 has 12 integer benchmarks (CINT2006) and 17 floating-point benchmarks (CFP2006) SPECSFS (NFS file server) and SPECWeb (WebServer) have been added as server benchmarks Transaction Processing Council measures server performance and cost-performance for databases TPC-C Complex query for Online Transaction Processing TPC-H models ad hoc decision support TPC-W a transactional web benchmark TPC-App application server and web services benchmark 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 52

One Way to Summarize Suite Performance - 1 Arithmetic average of execution time of all codes? No, they vary by 4X in speed, so some would be more important than others in arithmetic average Could add a weight per program, if not hard to pick weights? Different companies want different weights for their products SPECRatio: Normalize execution times to reference computer, yielding a ratio proportional to performance = time on slower reference computer time on faster computer being rated 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 53

One Way to Summarize Suite Performance - 2 If a program s SPECRatio on Computer A is 1.25 times bigger than Computer B, then 1.25 = = SPECRatio SPECRatio A B = ExecutionTime ExecutionTime B A ExecutionTime ExecutionTime ExecutionTime ExecutionTime Performance Performance reference reference Note that when comparing 2 computers as a ratio, execution times on the reference computer drop out, so choice of reference computer is irrelevant 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 54 = A B A B

One Way to Summarize Suite Performance - 3 For performance ratios, the proper mean is the geometric mean (SPECRatio is unit-less, so arithmetic mean is meaningless) n GeometricMean = 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 55 n i= 1 SPECRatio i 1. Geometric mean of ratios is the same as the ratio of the geometric means = n (π ref times / π new times) 1. Ratio of geometric means { n π t j = t 1 * t 2 * * t n } = Geometric mean of performance ratios choice of reference computer is irrelevant! These two points make geometric mean of ratios attractive to summarize performance Like the geometric mean, the geometric standard deviation is multiplicative rather than arithmetic

One Way to Summarize Suite Performance - 4 Does a single mean well summarize performance of programs in benchmark suite? Can decide if mean a good predictor by characterizing variability of distribution using standard deviation Like geometric mean, geometric standard deviation is multiplicative rather than arithmetic Can simply take the logarithm of SPECRatios, compute the standard mean and standard deviation, and then take the exponent to convert back: n 1 GeometricMean = exp ln n i= 1 GeometricStDev = exp ( SPECRatio ) i ( StDev( ln( SPECRatio ) i 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 56

One Way to Summarize Suite Performance - 5 Standard deviation is more informative if know distribution has a standard form bell-shaped normal distribution, whose data are symmetric around mean lognormal distribution, where logarithms of data--not data itself--are normally distributed (symmetric) on a logarithmic scale For a lognormal distribution, we expect that 68% of samples fall in range 95% of samples fall in range Note: Excel provides functions EXP(), LN(), and STDEV() that make calculating geometric mean and multiplicative standard deviation easy 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 57

Example Standard Deviation (1/2) GM and multiplicative StDev of SPECfp2000 for Itanium 2 SPECfpRatio 14000 12000 10000 8000 6000 4000 2000 0 wupwise swim mgrid applu mesa Outside 1 StDev galgel art equake facerec GM = 2712 GStDev = 1.98 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 58 ammp lucas fma3d sixtrack apsi 5362 2712 1372 Itanium 2 is 2712/100 times as fast as Sun Ultra 5 (GM), and range within 1 Std. Deviation is [13.72, 53.62] { Sun Ultra 5 is the SPEC reference computer}

Example Standard Deviation (2/2) GM and multiplicative StDev of SPECfp2000 for AMD Athlon 14000 12000 SPECfpRatio 10000 8000 6000 4000 2000 0 wupwise swim mgrid applu mesa Outside 1 StDev galgel art equake facerec GM = 2086 GStDev = 1.40 ammp lucas fma3d sixtrack apsi 2911 2086 1494 Athon is 2086/100 times as fast as Sun Ultra 5 (GM), & range within 1 Std. Deviation is [14.94, 29.11] Smaller GStDev means Athlon s performance is more predictable than Itanium s. 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 59

Comments on Itanium 2 and Athlon Standard deviation for SPECRatio of 1.98 for Itanium 2 is much higher-- vs. 1.40--so results will differ more widely from the mean, and therefore are likely less predictable SPECRatios falling within one standard deviation: 10 of 14 benchmarks (71%) for Itanium 2 11 of 14 benchmarks (78%) for Athlon Thus, results are quite compatible with a lognormal distribution (expect 68% for 1 StDev) Itanium 2 vs. Athlon St.Dev is 1.74, which is high, so less confidence in claim that Itanium 1.30 times as fast as Athlon Indeed, Athlon is faster on 6 of 14 programs Range is [0.75,2.27] with 11/14 inside 1 StDev (78%) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 60

And in conclusion Computer Science at the crossroads from sequential to parallel computing Salvation requires innovation in many fields, including computer architecture An architect must track & extrapolate technology Bandwidth in disks, DRAM, networks, and processors improves by at least as much as the square of the improvement in Latency Quantify dynamic and static power Capacitance x Voltage 2 x frequency, Energy vs. power Quantify dependability Reliability (MTTF, FIT), Availability (99.9 ) Quantify and summarize performance Ratios, Geometric Mean, Multiplicative Standard Deviation Read Chapter 1, then Appendix A 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 61

Skipped Slides Spring 2010 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 62

Computer Architecture >> ISA Comp. Arch. is an Integrated Design Approach Old pre-1980 definition of computer architecture: Computer Arch. = Instruction Set Architecture Other aspects of computer design were called implementation Insinuates implementation is uninteresting or less challenging Architect s job much more than instruction set design; technical hurdles in computers today are more challenging than those in instruction set design Computer architecture is not just about transistors, individual instructions, or particular implementations Original 1980s RISC projects replaced complex instructions with a complex compiler and simple instructions What really matters today is the performance of complete computer systems Hardware, runtime system, operating system, compiler, applications In networking, this is called the End to End argument 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 63

Rule of Thumb for Latency Lagging BW In the time that bandwidth doubles, latency improves by no more than a factor of 1.2 to 1.4 (capacity improves much faster than bandwidth, disk: 2500x vs 143x ) Stated alternatively: Bandwidth improves by more than the square of the improvement in Latency (capacity improves much faster than cube of latency, disk: 2500x vs 8x ) 1/25,27 + 2/1/2010 CSE502-S10, Lec 01-3 - intro 64