This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show.

Similar documents
This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show.

Use the Status Register when the firmware needs to query the state of internal digital signals.

This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show.

Use the Status Register when the firmware needs to query the state of internal digital signals.

Use the Status Register when the firmware needs to query the state of internal digital signals.

Comparator (Comp) Features. General Description. When to use a Comparator 1.60

The color of the Clock component waveform symbol will change based on the clock's domain (as shown in the DWR Clock Editor), as follows:

Voltage Reference (Vref) Features. General Description. Input/Output Connections. When to Use a Vref Voltage references and supplies

Use the IDAC8 when a fixed or programmable current source is required in an application.

PSoC Creator Component Datasheet

Use the Status Register when the firmware needs to query the state of internal digital signals.

PSoC 4 Low Power Comparator (LPComp) Features. General Description. When to Use a LPComp 2.0. Low input offset. User controlled offset calibration

Filter_ADC_VDAC_poll Example Project Features. General Description. Development Kit Configuration

Cypress HX2VL Configuration Utility Blaster User Guide

8 to 1 Analog Multiplexer Datasheet AMux8 V 1.1. Features and Overview

4 to 1 Analog Multiplexer Data Sheet

CY7C603xx CYWUSB

Automatic reload of the period to the count register on terminal count

For one or more fully configured, functional example projects that use this user module go to

Writing to Internal Flash in PSoC 3 and PSoC 5

HX2VL Development Kit Guide. Doc. # Rev. **

16-Bit Hardware Density Modulated PWM Data Sheet

HX2VL Development Kit Guide. Doc. # Rev. *A

LPF (Optional) CY8C24x93. Without LPF and ISR to 3* With LPF only** to 3* With ISR only to 3*

THIS SPEC IS OBSOLETE

This section describes the various input and output connections for the Voltage Fault Detector.

Preliminary. Gas Sensor Analog Front End Datasheet GasSensorAFE V Features and Overview. This datasheet contains Preliminary information.

Supported Devices: CY8C28x13, CY8C28x33, CY8C28x43, CY8C28x45, CY8C28x52, CY8C21x45, CY8C22x45, CY8C24x93. CY8C24x

Programmable Threshold Comparator Data Sheet

Reviving Bit-slice Technology in a Programmable Fashion

GPIF II Designer - Quick Start Guide

Digital Multiplexer and Demultiplexer. Features. General Description. Input/Output Connections. When to Use a Multiplexer. Multiplexer 1.

Shadow Registers Datasheet ShadowRegs V 1.1. Features and Overview

Cypress HX2VL Configuration Utility Blaster User Guide

CYClockMaker Programming Kit Guide CY3675. Doc. # Rev. **

This section describes the various input and output connections for the Voltage Fault Detector.

DMX512 Receiver Datasheet DMX512Rx V 1.0. Features and Overview

Bootloader project - project with Bootloader and Communication components

PSoC 1 I 2 C Bootloader

Analog Multiplexer (AMux) Features. General Description. Input/Output Connections. When to Use an AMux Single or differential connections

CE PSoC 4: Time-Stamped ADC Data Transfer Using DMA

Voltage Fault Detector (VFD) Features. General Description. Input/Output Connections. When to Use a VFD. Clock Input 2.30

Programmable Gain Amplifier Datasheet PGA V 3.2. Features and Overview

EZ I 2 C Slave. Features. General Description. When to use a EZ I 2 C Slave 1.50

This input determines the next value of the output. The output does not change until the next rising edge of the clock.

CE56273 Associated Part Families: CY8C38xx/CY8C55xx Software: PSoC Creator Related Hardware: CY8CKIT-001 Author: Anu M D

The AMuxSeq is capable of having between 2 and 32 analog inputs. The paired inputs are present when the MuxType parameter is set to "Differential.

CYClockMaker Programming Kit Guide CY3675. Doc. # Rev. *C

Incremental ADC Data Sheet

PSoC 6 Current Digital to Analog Converter (IDAC7)

Digital Logic Gates. Features. General Description. Input/Output Connections. When to Use a Logic Gate. Input 1. Input 2. Inputs 3-8 * 1.

THIS SPEC IS OBSOLETE

Use a DieTemp component when you want to measure the die temperature of a device.

Next-Generation Hot-Swap Controllers

24-Bit Pseudo Random Sequence Generator Data Sheet

This section describes the various input and output connections for the SysInt Component.

One 32-bit counter that can be free running or generate periodic interrupts

AN SIO Tips and Tricks in PSoC 3 / PSoC 5. Application Note Abstract. Introduction

PSoC 4 Current Digital to Analog Converter (IDAC)

Supports a range of speeds of external memories (from 5 to 200 ns) Supports external memory power-down, sleep, and wakeup modes

FTG Programming Kit CY3670. Spec. # Rev. *C

Supports one or two address decoding with independent memory buffers. Memory buffers provide configurable Read/Write and Read Only regions

PSoC 4 Voltage Comparator (Comp) Features. General Description. When to Use Comparator Low input offset. User controlled offset calibration

Comparator (Comp) Features. General Description. When to use a Comparator Low input offset. User controlled offset calibration

Optional Pause Pulse for constant frame length of 282 clock ticks

CapSense I 2 C/SPI Timer Flash RAM

Clock Programming Kit

AN EZ-USB FX3 I 2 C Boot Option. Application Note Abstract. Introduction. FX3 Boot Options

THIS SPEC IS OBSOLETE

Libraries Guide. Arithmetic Libraries User Guide. Document #: Rev. *A

PSoC Creator Component Data Sheet

Counter resolution of 1x, 2x, or 4x the frequency of the A and B inputs, for more accurate determination of position or speed

Capable of adjusting detection timings for start bit and data bit

Programmer User Guide

CY3660-enCoRe V and encore V LV DVK Kit Guide

Base Timer Channel (BT) Features. General Description. When to Use a PDL_BT Component 1.0

Multifunction Serial Interface (PDL_MFS) Features. General Description. When to Use a PDL_MFS Component. Quick Start 1.0

PSoC Designer Release Notes

FM3 MB9B100A/300A/400A/500A Series Inverter Solution GUI User Guide

Scanning Comparator (ScanComp) Features. General Description. Input/Output Connections. When to Use a Scanning Comparator. clock - Digital Input* 1.

This Application Note demonstrates an SPI-LIN slave bridge using a PSoC device. Demonstration projects are included.

PSoC Programmer 3.12 Release Notes

Sequencing Successive Approximation ADC (ADC_SAR_Seq) Features. General Description. When to Use the ADC_SAR_Seq 2.0. Supports PSoC 5LP devices

CE58957 demonstrates how to implement the fade and toggle feature to the backlight LEDs of CapSense buttons.

PSoC Blocks. CY8C20xx6/6A/6AS/6H/6L, CY8C20xx7/7S, CY7C643xx, CY7C604xx, CYONS2xxx, CYONSxNxxxx, CYRF89x35, CY8C20065, CY8C24x93, CY7C69xxx

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation

PSoC Creator Quick Start Guide

Setting Oscillation Stabilization Wait Time of the main clock (CLKMO) and sub clock (CLKSO)

CY8C29/27/24/23/21xxx, CY8CLED02/04/08/16, CY8CLED0xD, CY8CLED0xG, CY8C28x45, CY8CPLC20, CY8CLED16P01, CY8C28xxx. Main UM

144-Mbit QDR -II SRAM 2-Word Burst Architecture

The following table lists user modules used in this code example and the hardware resources occupied by each user module.

EZ-USB FX3 Development Kit Guide

Standard [CRC-1 (parity bit), CRC-4 (ITU-T G.704), CRC-5-USB, etc.] or custom polynomial

Inter-IC Sound Bus (I2S) Features. General Description. When to Use an I2S 2.10

Shift Register. Features. General Description 1.20

PSoC 4 Operational Amplifier (Opamp) Features. General Description. When to Use the Opamp Follower or Opamp configuration

12-Mbit (512 K 24) Static RAM

Use the Character LCD component to display text data to the user of the product or to a developer during design and debug.

Power Monitor. Features. General Description. Power Converter Voltage Measurements: Power Converter Current Measurements:

Inverting Programmable Gain Amplifier (PGA_Inv)

Transcription:

1.60 Features Up to 8-bit General Description The allows the firmware to output digital signals. When to Use a Use a when the firmware needs to interact with a digital system. You can also use the as a configuration register, allowing the firmware to specify the desired behavior of the digital system. Input/Output Connections This section describes the input and output connections for the. An asterisk (*) indicates that the I/O may be hidden on the symbol under the conditions listed in the description of that I/O. clock Input * This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show. reset Input * This optional input is used to reset bits. This input is shown on the symbol when you enable the External Reset parameter, and set the BitMode parameter to SyncMode or PulseMode. The reset input on the is optional if you use PSoC 3 Production silicon. The reset input may be left floating with no external connection. If nothing is connected to the reset line, the component will assign it a constant logic 0. Cypress Semiconductor Corporation 198 Champion Court San Jose, CA 95134-1709 408-943-2600 Document Number: 001-73538 Rev. ** Revised October 15, 2011

PSoC Creator Component Datasheet control_0 - control_7 Output * The contains up to eight outputs. The firmware sets the values of the output terminals by writing to the. The number of outputs depends on the setting for the Outputs parameter. Component Parameters Drag a onto your design and double-click it to open the Configure dialog. Outputs Number of output terminals (1 to 8). The default value is 8. Bit 0 is the LSb and corresponds to the control_0 terminal. Page 2 of 5 Document Number: 001-73538 Rev. **

External Reset This check box is used to enable the reset input on the symbol. This option is not selected by default. External Reset is not valid when all the bits in the Mode parameter are configured as DirectMode. In that case this option is disabled. Set All DirectMode This button sets all bits to DirectMode. Clear All BitValue This button sets all BitValue fields to 0. ModeMask/BitValue Mode These parameters are used to set specific bits of the to one of three settings: DirectMode In this mode, when the control register is written with bus clock, the data is driven directly into the routing. SyncMode Resamples (single-synched) the control bit input from the bus clock to the selected SC clock before it is driven into the routing. This mode is only supported for PSoC 3 Production silicon or later. PulseMode This mode is similar to SyncMode, in that the Control bit input is resampled from the bus clock to the selected SC clock and a single SC clock period pulse is generated. The output of the control bit into the routing is asserted for one full SC clock period. At the end of the pulse, the control bit is automatically reset. This mode is supported for PSoC 3 Production silicon or later. BitValue These parameters allow you to set the default value of 0 or 1 for each bit in the. By default, the initial value is 0. Document Number: 001-73538 Rev. ** Page 3 of 5

PSoC Creator Component Datasheet Resources Digital Blocks API Memory (Bytes) Analog Blocks Datapaths Macro cells Status Registers Control Registers Counter7 Flash RAM Pins (per External I/O) N/A N/A N/A N/A 1 N/A 22 0 N/A The requires one UDB. Application Programming Interface Application Programming Interface (API) routines allow you to configure the component using software. The following table lists and describes the interface to each function. The subsequent sections cover each function in more detail. By default, PSoC Creator assigns the instance name Control_Reg_1 to the first instance of a control register in any given design. You can rename the component to any unique value that follows the syntactic rules for identifiers. The instance name becomes the prefix of every global function name, variable, and constant symbol. For readability, the instance names used in the following tables is ControlReg. Function ControlReg_Write() ControlReg_Read() Writes a byte to a control register Description Reads the current value assigned to a control register void ControlReg_Write (uint8 control) Description: Writes a byte to a control register Parameters: control: The value to be assigned to the control register Return Value: None Side Effects: Sets the state of the control register s outputs uint8 ControlReg_Read (void) Description: Reads the current value assigned to a control register Parameters: None Return Value: Returns the current value assigned to the control register Side Effects: None Page 4 of 5 Document Number: 001-73538 Rev. **

Sample Firmware Source Code PSoC Creator provides many example projects that include schematics and example code in the Find Example Project dialog. For component-specific examples, open the dialog from the Component Catalog or an instance of the component in a schematic. For general examples, open the dialog from the Start Page or File menu. As needed, use the Filter Options in the dialog to narrow the list of projects available to select. Refer to the Find Example Project topic in the PSoC Creator Help for more information. Component Changes This section lists the major changes in the component from the previous version. Version Description of Changes Reason for Changes / Impact 1.60 Updated the Configure dialog Changed the Bit display and addressed minor Configure dialog issues 1.50.b 1.50.a Minor datasheet edits and updates Minor datasheet edits and updates 1.50 Updated the Configure dialog. Created a customized interface. Added "Set All" and Clear All buttons and changed Number of Inputs field to allow keyboard entry. Updated the dialog to comply with corporate standards. Added reset input and ExternalReset parameter to control visibility of reset input Added BitValue parameter Added Bit mode parameter to pick different control register modes (Direct, Sync and Pulse Mode). Added Clock pin This was added for PSoC 3 Production silicon to control the reset behavior of the control register To set control register Initial Value. New modes (Sync, Pulse Mode) were added to give the possibility to select a mode that resamples necessary bits to the UDB clock. This new mode can be used for PSoC 3 Production or later. Clock pin was added to support Sync and Pulse Mode which is exposed only when these modes are selected. Cypress Semiconductor Corporation, 2011. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in lifesupport systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. PSoC is a registered trademark, and PSoC Creator and Programmable System-on-Chip are trademarks of Cypress Semiconductor Corp. All other trademarks or registered trademarks referenced herein are property of the respective corporations. Any Source Code (software and/or firmware) is owned by Cypress Semiconductor Corporation (Cypress) and is protected by and subject to worldwide patent protection (United States and foreign), United States copyright laws and international treaty provisions. Cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of, and compile the Cypress Source Code and derivative works for the sole purpose of creating custom software and or firmware in support of licensee product to be used only in conjunction with a Cypress integrated circuit as specified in the applicable agreement. Any reproduction, modification, translation, compilation, or representation of this Source Code except as specified above is prohibited without the express written permission of Cypress. Disclaimer: CYPRESS MAKES NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. Cypress reserves the right to make changes without further notice to the materials described herein. Cypress does not assume any liability arising out of the application or use of any product or circuit described herein. Cypress does not authorize its products for use as critical components in lifesupport systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress product in a life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. Use may be limited by and subject to the applicable Cypress software license agreement. Document Number: 001-73538 Rev. ** Page 5 of 5