Physical Implementation

Similar documents
Lecture 20: Package, Power, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

Additional Slides for Lecture 17. EE 271 Lecture 17

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

CS250 VLSI Systems Design Lecture 9: Memory

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Spiral 2-8. Cell Layout

FPGA Programming Technology

The Design of the KiloCore Chip

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

10. Interconnects in CMOS Technology

EECS150 - Digital Design Lecture 16 Memory 1

Chapter 5: ASICs Vs. PLDs

Lecture Content. 1 Adam Teman, 2018

Cluster-based approach eases clock tree synthesis

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Stacked Silicon Interconnect Technology (SSIT)

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

MEMORIES. Memories. EEC 116, B. Baas 3

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

CS 152 Computer Architecture and Engineering Lecture 3 Metrics

ProASIC PLUS SSO and Pin Placement Guidelines

Unleashing the Power of Embedded DRAM

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Digital Design Methodology

ProASIC3/E SSO and Pin Placement Guidelines

Electromagnetic Compatibility ( EMC )

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

EECS150 - Digital Design Lecture 17 Memory 2

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

THE latest generation of microprocessors uses a combination

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Very Large Scale Integration (VLSI)

Memory in Digital Systems

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

3. Implementing Logic in CMOS

EECS150 - Digital Design Lecture 16 - Memory

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Very Large Scale Integration (VLSI)

CHAPTER 1 INTRODUCTION

Best practices for EMI filtering and IC bypass/decoupling applications

Xilinx SSI Technology Concept to Silicon Development Overview

Preliminary Product Overview

CS310 Embedded Computer Systems. Maeng

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

Homework 6: Printed Circuit Board Layout Design Narrative

ASIC Physical Design Top-Level Chip Layout

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

An Overview of Standard Cell Based Digital VLSI Design

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

Design Guidelines for Optimal Results in High-Density FPGAs

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Embedded Systems and Software

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

Frequency Generator for Pentium Based Systems

Design Methodologies. Full-Custom Design

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Introduction to Field Programmable Gate Arrays

EE-382M VLSI II. Early Design Planning: Front End

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

Pad Ring and Floor Planning

Columbia Univerity Department of Electrical Engineering Fall, 2004

EE582 Physical Design Automation of VLSI Circuits and Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

Magnetic core memory (1951) cm 2 ( bit)

Review: Timing. EECS Components and Design Techniques for Digital Systems. Lec 13 Storage: Regs, SRAM, ROM. Outline.

Digital IO PAD Overview and Calibration Scheme

ECE 485/585 Microprocessor System Design

Multi-Drop LVDS with Virtex-E FPGAs

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Application Note: AN-146. Guidelines for Effective LITELINK Designs. AN-146-R03 1

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Transcription:

CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However, you should understand some of the more difficult concerns for physical implementation: Power distribution Chip input/output Clock distribution Floor-planning Not: Place & Route Lecture 08, Layout 2

Routing PWR and GND Main Design Concerns: 1. IR Drops. Remembering Ohms Law V = IR. Voltage drops due to resistance in power/gnd wires slower circuits, false switching 2. Metal Migration (electromigration). Accidental fusing chip failure 3. Inductive Effects. Bounce and oscillation on power nodes false switching 3 Metal Migration A current flux through a metal conductor exceeding a certain limit causes metal atoms to move in the direction of the current. Defect (or design) If there is a small constriction... the current density will be higher and more metal atoms will be carried away, creating a higher current density,..., open circuit like a fuse Metal migration begins at 2x10 5 A/cm 2 Design Guideline: Size width of both Vdd and GND wires so that no more than 0.5mA/um in width (aluminum).

Other Migration Related Failures 5 IR Drops Lecture 01, Introduction 1 6

IR Drops Switching induced noise on pwr and GND rails can couple through to logic signals and cause accidental switching. Particularly troublesome around circuit with low noise immunity. Ex: RAM block sense amplifiers. 7 Layout Strategy for Power Rails (power and ground wires) 1. Keep distance from source of power as short as possible. 2. Use wide/thick metal. 3. Isolate noisy sections.. Use multiple sources. 8

Layout Strategy for Power Rails (power and ground wires) With many layers of metal, upper few layers are typically thicker than lower layers. Upper layers get allocated for global power rails. Via connections to lower layers for local distribution. 9 Inductive Bounce Inductance in the power and ground paths results in voltage glitches (noise) on Vdd and GND nodes. On-chip L values of wires is small => usually not significant except: 1. Very large currents: clock drivers, off-chip drivers 2. Package pins, bonding wires (1nH/mm) Strategy: Lecture 01, Introduction 1 Package pins can have from 2-0nH of inductance, depending on package type 1. Use multiple bonding pads (wires) from Vdd & GND 2. If necessary, use on-chip bypass capacitors 10

On-chip Bypass Capacitors When transistors switch, current is drawn from CD rather than through package pins and bonding wires - smoothes out di/dt. (Think of it as a charge cache ). Gate oxide leads to highest capacitance, but poor yield. Thicker oxides are used. 11 On-chip Bypass Capacitance Distributed bypass capacitors also smooth out noise from IR drops by locally supplying charge when needed. Generally, capacitance on Vdd and GND is a good thing. (Fat wires help, larger transistor source regions help, extra capacitors when needed) Capacitive bypassing continues all the way up the packaging chain. 12

Bypass Capacitors On-chip bypass capacitors are not effective for off-chip drivers: On-chip capacitors keep the voltage difference across the power lines stable but cannot prevent the on-chip power-supply levels from moving up and down w.r.t. the board power-supply levels. Therefore, high-speed chip outputs are surrounded by many pwr and ground connections (pins). Example GTPs on Xilinx FPGAs, Memory interface on processors and bridge chips. 13 Package Connections Pads : Special cells in the design kit for the layout generator. Vdd 200um 100um pad circuitry GND Pad (metal layer build-up), for wire bonding or solder-ball Circuitry provides ESD protection, drive strength for output, input buffering, registering of signals, etc. Classic example of large capacitive fan-out. Internal capacitances on order of femto-farads, external ones are picofarads. Uses staged drivers. 1

Electro-static Discharge (ESD) and Over-voltage Protection 15 I/O Floorplan Perimeter pads is the classic arrangement. Signals get routed from chip core to periphery. Perimeter sqrt(area) At 200um pitch => 100 pads max per side (2cm die) Most commonly used for wire bond attachment. 16

I/O Floorplan Area pads allow higher number of connections. Allow up to thousands of connections. Wire-bonding no longer possible => flip-chip technologies. 17 In addition to higher density, lower resistance and inductance. Eases layout of circuits and power/clk distribution (signals come-in/go-out closer to where needed) Flip-chip Bonding Adhesive Epoxy* Copper Heatspreader Flip Chip Solder Bump Thermal Interface Material Underfill Epoxy Silicon Die Solder Ball Organic Build-Up Substrate 18

Clock Distribution A challenging consequence of the synchronize design methodology is a need to distribute a clock signal in synchrony to every state element - and do so with low-power (this challenge has driven some to asynchronous circuit design). CLK CLK clock skew, delay in distribution Clock skew can create both setup and hold problems. 19 CLK Clock Skew Related Failure CLK CLK CL CLK clock skew, delay in distribution If clock period T = TCL+Tsetup+Tclk Q+Tskew, circuit will fail with setup time violation. This problem can be fixed by increasing the clock period. CLK CLK CL If TCL < Tskew, circuit will fail with hold time violation. Increasing the clock period will not help. Need to add delay. 20

Clock Buffering Strategies Centralized Buffer RC delay of each path must be controlled. Load must be balanced. Leaf wires end up being long and therefore small variations in length create large variation in delay (L 2 effect) One approach is to use wide wires to minimize R. Use successfully in Alpha microprocessors (and others). But high power consumption. 21 Clock Buffering Strategies Distributed Buffer Load must be balanced. Match strength all drivers within a level. Now standard practice. 22

Clock Tree Layout Standard synthesis tools take clock uncertainty into account. Layout tools will automatically layout a low-skew clock tree. Advanced tools analyze and take into account clock skew between pairs of state-elements. 23 Clock Distribution Examples GCLK7 GCLK5 GCLK6 GCLK Xilinx Virtex FPGA DCM BUFGMUX Top Spine 8 DCM 8 8 8 Horizontal Spine Bottom Spine DCM DCM BUFGMUX GCLK2 GCLK0 GCLK3 GCLK1 2

Delay Grid Tuned sector trees Delay Sector buffers x Clock Tree Delays, IBM Power CPU Buffer level 2 Buffer level 1 Spring 2009 EECS150 - Lec16-timing Page 25 y 1.5 Delay Volts (V) 1.0 20 ps skew 0.5 0.0 0 500 1000 1500 2000 2500 Time (ps) Multiplefingered transmissio line x Clock Tree Delays, IBM Power Spring 2009 EECS150 - Lec16-timing Page 26 y

System Level Skew PLLs or DLLs often build on-chip to deskew chip core relative to PCB environment. They also get used for clock frequency multiplication. 27 Floorplanning Strategies Pay attention to communication - data- and control-flow Wiring can account for the majority of the power consumption and area. Automatic layout tools do this locally. Global floorplanning (placement of large blocks) may need to be specified. 28

Floorplanning Strategies Exploit Regularity Simplifies layout and verification. Create subblock and instantiate many times. Helps in manufacturability and yield enhancement. Examples: FPGAs, memory blocks, bit-slice processor datapaths, systolic arrays,... 29!"#$%&'() Next Time Chip layout examples... Lecture 01, Introduction 1 30