ESE 545 Computer Architecture Instruction-Level Parallelism (ILP): Speculation, Reorder Buffer, Exceptions, Superscalar Processors, VLIW

Similar documents
CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1

NOW Handout Page 1. Review from Last Time #1. CSE 820 Graduate Computer Architecture. Lec 8 Instruction Level Parallelism. Outline

5008: Computer Architecture

Getting CPI under 1: Outline

Handout 2 ILP: Part B

EEC 581 Computer Architecture. Instruction Level Parallelism (3.6 Hardware-based Speculation and 3.7 Static Scheduling/VLIW)

EEC 581 Computer Architecture. Lec 7 Instruction Level Parallelism (2.6 Hardware-based Speculation and 2.7 Static Scheduling/VLIW)

Hardware-based Speculation

Donn Morrison Department of Computer Science. TDT4255 ILP and speculation

CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation

Page 1. Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Lecture-13 (ROB and Multi-threading) CS422-Spring

Hardware-Based Speculation

CPE 631 Lecture 11: Instruction Level Parallelism and Its Dynamic Exploitation

CISC 662 Graduate Computer Architecture Lecture 13 - CPI < 1

CPI < 1? How? What if dynamic branch prediction is wrong? Multiple issue processors: Speculative Tomasulo Processor

EITF20: Computer Architecture Part3.2.1: Pipeline - 3

Load1 no Load2 no Add1 Y Sub Reg[F2] Reg[F6] Add2 Y Add Reg[F2] Add1 Add3 no Mult1 Y Mul Reg[F2] Reg[F4] Mult2 Y Div Reg[F6] Mult1

Adapted from David Patterson s slides on graduate computer architecture

Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Chapter 4 The Processor 1. Chapter 4D. The Processor

Super Scalar. Kalyan Basu March 21,

CS252 Graduate Computer Architecture Lecture 6. Recall: Software Pipelining Example

Outline Review: Basic Pipeline Scheduling and Loop Unrolling Multiple Issue: Superscalar, VLIW. CPE 631 Session 19 Exploiting ILP with SW Approaches

Page 1. Recall from Pipelining Review. Lecture 15: Instruction Level Parallelism and Dynamic Execution

CPI IPC. 1 - One At Best 1 - One At best. Multiple issue processors: VLIW (Very Long Instruction Word) Speculative Tomasulo Processor

Chapter 3 (CONT II) Instructor: Josep Torrellas CS433. Copyright J. Torrellas 1999,2001,2002,2007,

Hardware-based speculation (2.6) Multiple-issue plus static scheduling = VLIW (2.7) Multiple-issue, dynamic scheduling, and speculation (2.

ELEC 5200/6200 Computer Architecture and Design Fall 2016 Lecture 9: Instruction Level Parallelism

Four Steps of Speculative Tomasulo cycle 0

Computer Architecture 计算机体系结构. Lecture 4. Instruction-Level Parallelism II 第四讲 指令级并行 II. Chao Li, PhD. 李超博士

Instruction Level Parallelism

ILP concepts (2.1) Basic compiler techniques (2.2) Reducing branch costs with prediction (2.3) Dynamic scheduling (2.4 and 2.5)

TDT 4260 lecture 7 spring semester 2015

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 3. Instruction-Level Parallelism and Its Exploitation

Static vs. Dynamic Scheduling

EI 338: Computer Systems Engineering (Operating Systems & Computer Architecture)

Multi-cycle Instructions in the Pipeline (Floating Point)

Copyright 2012, Elsevier Inc. All rights reserved.

Outline EEL 5764 Graduate Computer Architecture. Chapter 2 - Instruction Level Parallelism. Recall from Pipelining Review

EECC551 - Shaaban. 1 GHz? to???? GHz CPI > (?)

The basic structure of a MIPS floating-point unit

CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation

CS 152 Computer Architecture and Engineering. Lecture 12 - Advanced Out-of-Order Superscalars

Topics. Digital Systems Architecture EECE EECE Predication, Prediction, and Speculation

Advanced issues in pipelining

EECC551 Exam Review 4 questions out of 6 questions

Review: Compiler techniques for parallelism Loop unrolling Ÿ Multiple iterations of loop in software:

CS425 Computer Systems Architecture

Metodologie di Progettazione Hardware-Software

CS 152, Spring 2011 Section 8

Chapter 3: Instruction Level Parallelism (ILP) and its exploitation. Types of dependences

UG4 Honours project selection: Talk to Vijay or Boris if interested in computer architecture projects

Lecture 8 Dynamic Branch Prediction, Superscalar and VLIW. Computer Architectures S

Exploiting ILP with SW Approaches. Aleksandar Milenković, Electrical and Computer Engineering University of Alabama in Huntsville

CISC 662 Graduate Computer Architecture Lecture 11 - Hardware Speculation Branch Predictions

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 15 Very Long Instruction Word Machines

Website for Students VTU NOTES QUESTION PAPERS NEWS RESULTS

Chapter 3 Instruction-Level Parallelism and its Exploitation (Part 1)

Hardware-Based Speculation

Advanced d Instruction Level Parallelism. Computer Systems Laboratory Sungkyunkwan University

Functional Units. Registers. The Big Picture: Where are We Now? The Five Classic Components of a Computer Processor Input Control Memory

Processor (IV) - advanced ILP. Hwansoo Han

The Processor: Instruction-Level Parallelism

Lecture 9: More ILP. Today: limits of ILP, case studies, boosting ILP (Sections )

NOW Handout Page 1. COSC 5351 Advanced Computer Architecture

CISC 662 Graduate Computer Architecture. Lecture 10 - ILP 3

Instruction-Level Parallelism and Its Exploitation

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 9 Instruction-Level Parallelism Part 2

DYNAMIC AND SPECULATIVE INSTRUCTION SCHEDULING

ECE 252 / CPS 220 Advanced Computer Architecture I. Lecture 14 Very Long Instruction Word Machines

COSC4201 Instruction Level Parallelism Dynamic Scheduling

CS252 Graduate Computer Architecture Lecture 8. Review: Scoreboard (CDC 6600) Explicit Renaming Precise Interrupts February 13 th, 2010

Hardware-based Speculation

Computer Science 246 Computer Architecture

Instruction Level Parallelism. Appendix C and Chapter 3, HP5e

EE 4683/5683: COMPUTER ARCHITECTURE

CS433 Midterm. Prof Josep Torrellas. October 19, Time: 1 hour + 15 minutes

Several Common Compiler Strategies. Instruction scheduling Loop unrolling Static Branch Prediction Software Pipelining

ILP: Instruction Level Parallelism

CS433 Homework 2 (Chapter 3)

CS 152 Computer Architecture and Engineering. Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568

INSTRUCTION LEVEL PARALLELISM

Lecture 18: Instruction Level Parallelism -- Dynamic Superscalar, Advanced Techniques,

Chapter 4. Advanced Pipelining and Instruction-Level Parallelism. In-Cheol Park Dept. of EE, KAIST

Advanced Computer Architecture

Processor: Superscalars Dynamic Scheduling

Lecture 9: Multiple Issue (Superscalar and VLIW)

CS252 Spring 2017 Graduate Computer Architecture. Lecture 8: Advanced Out-of-Order Superscalar Designs Part II

DYNAMIC INSTRUCTION SCHEDULING WITH SCOREBOARD

Instruction Level Parallelism

Reduction of Data Hazards Stalls with Dynamic Scheduling So far we have dealt with data hazards in instruction pipelines by:

CS 152 Computer Architecture and Engineering. Lecture 13 - Out-of-Order Issue and Register Renaming

Page # CISC 662 Graduate Computer Architecture. Lecture 8 - ILP 1. Pipeline CPI. Pipeline CPI (I) Michela Taufer

Lecture 13 - VLIW Machines and Statically Scheduled ILP

EE382A Lecture 7: Dynamic Scheduling. Department of Electrical Engineering Stanford University

Exploitation of instruction level parallelism

Page 1. CISC 662 Graduate Computer Architecture. Lecture 8 - ILP 1. Pipeline CPI. Pipeline CPI (I) Pipeline CPI (II) Michela Taufer

CS433 Homework 2 (Chapter 3)

Transcription:

Computer Architecture ESE 545 Computer Architecture Instruction-Level Parallelism (ILP): Speculation, Reorder Buffer, Exceptions, Superscalar Processors, VLIW 1

Review from Last Lecture Leverage Implicit Parallelism for Performance: Instruction Level Parallelism Loop unrolling by compiler to increase ILP Branch prediction to increase ILP Dynamic HW exploiting ILP Works when can t know dependence at compile time Can hide L1 cache misses Code for one machine runs well on another 2

Review from Last lecture Reservations stations: renaming to larger set of registers + buffering source operands Prevents registers as bottleneck Avoids WAR, WAW hazards Allows loop unrolling in HW Not limited to basic blocks (integer units gets ahead, beyond branches) Helps cache misses as well Lasting Contributions Dynamic scheduling Register renaming Load/store disambiguation 360/91 descendants are Pentium 4, Power 5, AMD Athlon/Opteron, 3

Outline ILP Speculation Speculative Tomasulo Example Memory Aliases Exceptions VLIW Increasing instruction bandwidth Register Renaming vs. Reorder Buffer Value Prediction Limits to ILP 4

Speculation to Greater ILP Greater ILP: Overcome control dependence by hardware speculating on outcome of branches and executing program as if guesses were correct Speculation fetch, issue, and execute instructions as if branch predictions were always correct Dynamic scheduling only fetches and issues instructions Essentially a data flow execution model: Operations execute as soon as their operands are available 5

Speculation to Greater ILP 3 components of HW-based speculation: 1. Dynamic branch prediction to choose which instructions to execute 2. Speculation to allow execution of instructions before control dependences are resolved + ability to undo effects of incorrectly speculated sequence 3. Dynamic scheduling to deal with scheduling of different combinations of basic blocks 6

Adding Speculation to Tomasulo Must separate execution from allowing instruction to finish or commit This additional step called instruction commit When an instruction is no longer speculative, allow it to update the register file or memory Requires additional set of buffers to hold results of instructions that have finished execution but have not committed This reorder buffer (ROB) is also used to pass results among instructions that may be speculated 7

Reorder Buffer (ROB) In Tomasulo s algorithm, once an instruction writes its result, any subsequently issued instructions will find result in the register file With speculation, the register file is not updated until the instruction commits (we know definitively that the instruction should execute) Thus, the ROB supplies operands in interval between completion of instruction execution and instruction commit ROB is a source of operands for instructions, just as reservation stations (RS) provide operands in Tomasulo s algorithm ROB extends architectured registers like RS 8

Reorder Buffer Entry Each entry in the ROB contains four fields: 1. Instruction type a branch (has no destination result), a store (has a memory address destination), or a register operation (ALU operation or load, which has register destinations) 2. Destination Register number (for loads and ALU operations) or memory address (for stores) where the instruction result should be written 3. Value Value of instruction result until the instruction commits 4. Ready Indicates that instruction has completed execution, and the value is ready 9

Reorder Buffer Operation Holds instructions in FIFO order, exactly as issued When instructions complete, results placed into ROB Supplies operands to other instruction between execution complete & commit more registers like RS Tag results with ROB buffer number instead of reservation station Instructions commit values at head of ROB placed in registers As a result, easy to undo speculated instructions on mispredicted branches or on exceptions Commit path FP Op Queue Reorder Buffer FP Regs Res Stations FP Adder Res Stations FP Adder 10

4 Steps of Speculative Tomasulo Algorithm 1. Issue get instruction from FP Op Queue If reservation station and reorder buffer slot free, issue instr & send operands & reorder buffer no. for destination (this stage sometimes called dispatch ) 2. Execution operate on operands (EX) When both operands ready then execute; if not ready, watch CDB for result; when both in reservation station, execute; checks RAW (sometimes called issue ) 3. Write result finish execution (WB) Write on Common Data Bus to all awaiting FUs & reorder buffer; mark reservation station available. 4. Commit update register with reorder result When instr. at head of reorder buffer & result present, update register with result (or store to memory) and remove instr from reorder buffer. Mispredicted branch flushes reorder buffer (sometimes called graduation ) 11

Tomasulo With Reorder Buffer: FP Op Queue Reorder Buffer F0 LD F0,10(R2) N Done? ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Dest Registers Dest To Memory from Memory FP adders Reservation Stations FP multipliers Dest 1 10+R2 12

Tomasulo With Reorder Buffer: FP Op Queue Reorder Buffer F10 F0 ADDD F10,F4,F0 LD F0,10(R2) Done? N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 Dest To Memory from Memory FP adders Reservation Stations FP multipliers Dest 1 10+R2 13

Tomasulo With Reorder Buffer: FP Op Queue Reorder Buffer F2 F10 F0 DIVD F2,F10,F6 ADDD F10,F4,F0 LD F0,10(R2) Done? N N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 FP adders Reservation Stations Dest 3 DIVD ROB2,R(F6) FP multipliers To Memory from Memory Dest 1 10+R2 14

FP Op Queue Tomasulo With Reorder Buffer: Reorder Buffer F0 ADDD F0,F4,F6 N F4 LD F4,0(R3) N -- BNE F2,< > N F2 F10 F0 DIVD F2,F10,F6 ADDD F10,F4,F0 LD F0,10(R2) Done? N N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 6 ADDD ROB5, R(F6) FP adders Reservation Stations Dest 3 DIVD ROB2,R(F6) FP multipliers To Memory from Memory Dest 1 10+R2 5 0+R3 15

FP Op Queue Tomasulo With Reorder Buffer: Reorder Buffer Done? -- F0 ROB5 ST 0(R3),F4 ADDD F0,F4,F6 N N F4 LD F4,0(R3) N -- BNE F2,< > N F2 F10 F0 DIVD F2,F10,F6 ADDD F10,F4,F0 LD F0,10(R2) N N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 6 ADDD ROB5, R(F6) FP adders Reservation Stations Dest 3 DIVD ROB2,R(F6) FP multipliers To Memory from Memory Dest 1 10+R2 5 0+R3 16

FP Op Queue Tomasulo With Reorder Buffer: Reorder Buffer Done? -- F0 M[10] ST 0(R3),F4 ADDD F0,F4,F6 Y N F4 M[10] LD F4,0(R3) Y -- BNE F2,< > N F2 F10 F0 DIVD F2,F10,F6 ADDD F10,F4,F0 LD F0,10(R2) N N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 6 ADDD M[10],R(F6) FP adders Reservation Stations Dest 3 DIVD ROB2,R(F6) FP multipliers To Memory from Memory Dest 1 10+R2 17

FP Op Queue Tomasulo With Reorder Buffer: Reorder Buffer Done? -- F0 M[10] <val2> ST 0(R3),F4 ADDD F0,F4,F6 Y Ex F4 M[10] LD F4,0(R3) Y -- BNE F2,< > N F2 F10 F0 DIVD F2,F10,F6 ADDD F10,F4,F0 LD F0,10(R2) N N N ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest Registers Dest 2 ADDD R(F4),ROB1 FP adders Reservation Stations Dest 3 DIVD ROB2,R(F6) FP multipliers To Memory from Memory Dest 1 10+R2 18

FP Op Queue Tomasulo With Reorder Buffer: Reorder Buffer What about memory hazards??? Registers Dest 2 ADDD R(F4),ROB1 FP adders Reservation Stations -- F0 M[10] <val2> ST 0(R3),F4 ADDD F0,F4,F6 Y Ex F4 M[10] LD F4,0(R3) Y -- BNE F2,< > N F2 DIVD F2,F10,F6 N F10 ADDD F10,F4,F0 N F0 LD F0,10(R2) N Dest 3 DIVD ROB2,R(F6) FP multipliers Done? To Memory from Memory Dest 1 10+R2 ROB7 ROB6 ROB5 ROB4 ROB3 ROB2 ROB1 Newest Oldest 19

Avoiding Memory Hazards WAW and WAR hazards through memory are eliminated with speculation because actual updating of memory occurs in order, when a store is at head of the ROB, and hence, no earlier loads or stores can still be pending RAW hazards through memory are maintained by two restrictions: 1. not allowing a load to initiate the second step of its execution if any active ROB entry occupied by a store has a Destination field that matches the value of the A field of the load, and 2. maintaining the program order for the computation of an effective address of a load with respect to all earlier stores. these restrictions ensure that any load that accesses a memory location written to by an earlier store cannot perform the memory access until the store has written the data 20

Exceptions and Interrupts Interrupts and Exceptions either interrupt the current instruction or happen between instructions Possibly large quantities of state must be saved before interrupting Machines with precise exceptions provide one single point in the program to restart execution All instructions before that point have completed No instructions after or including that point have completed IBM 360/91 invented imprecise interrupts Computer stopped at this PC; its likely close to this address Not so popular with programmers Also, what about Virtual Memory? (Not in IBM 360) Technique for both precise interrupts/exceptions and speculation: inorder issue, and in-order commit If we speculate and are wrong, need to back up and restart execution to point at which we predicted incorrectly This is exactly same as need to do with precise exceptions Exceptions are handled by not recognizing the exception until instruction that caused it is ready to commit in ROB If a speculated instruction raises an exception, the exception is recorded in the ROB This is why reorder buffers are used in all new processors! 21

Review: Improving the 5-stage Pipeline Performance Higher clock frequency (lower CCT): deeper pipelines Overlap more instructions Higher CPI ideal : wider pipelines Insert multiple instruction in parallel in the pipeline Lower CPI stall : Diversified pipelines for different functional units Out-of-order execution Balance conflicting goals Deeper & wider pipelines -> more control hazards Branch prediction It is all works because of instruction-level parallelism (ILP) 22

Instruction-level Parallelism (ILP) 23

Deeper Pipelines 24

Deeper Pipelines Summary Advantages: higher clock frequency The workhorse behind multi-ghz processors Opteron: 11, UltraSparc: 14, Power5: 17, Pentium4: 22/34 Cost Complexity: more forwarding & stall cases Disadvantages More overlapping -> more dependencies -> more stalls CPI stall grows due to data and control hazards Clock overhead becomes increasingly important Power consumption 25

Limits to Pipeline Depth Each pipeline stage introduces some overhead (O) - Delay of pipeline registers Inequalities in work per stage Cannot break up work into stages at arbitrary points Clock skew Clocks to different registers may not be perfectly aligned If original CCT was T, with N stages CCT is T/N+O If N ->, speedup = T / (T/N+O) -> T/O Assuming that IC and CPI stay constant Eventually overhead dominates and deeper pipelines have diminishing returns 26

Wide or Superscalar Pipelines 27

Wide Pipelines Summary Advantages: lower CPI ideal (1/N) Opteron: 3, UltraSparc: 4, Power5: 4, Pentium4: 3 Cost Need wider path to instruction cache Need more ALUs, more register file ports, Complexity: more forwarding & stall cases to check Disadvantages Parallel execution -> more dependencies ->more stalls CPI stall grows due to data and control hazards 28

Diversified Pipelines w/o Reorder Buffers 29

A Modern Superscalar Out-of-Order Processor 30

Branch Penalty in Superscalar OOO Processors 31

The Challenges for Superscalar Processors Clock frequency: getting close to pipelining limits? Clocking overheads, CPI degradation Branch prediction & memory latency Limit the practical benefits of out-of-order execution Power consumption Gets worse with higher clock & more OOO logic Design complexity Grows exponentially with issue width Limited ILP? Alternative: single-chip (multicore) multiprocessors 32

Multiple-instruction Issue:Getting CPI below 1 CPI 1 if issue only 1 instruction every clock cycle Multiple-issue processors come in 3 flavors: 1. statically-scheduled superscalar processors, 2. dynamically-scheduled superscalar processors, and 3. VLIW (very long instruction word) processors 2 types of superscalar processors issue varying numbers of instructions per clock use in-order execution if they are statically scheduled (e.g., Cell SPU), or out-of-order execution if they are dynamically scheduled (Intel Pentium) VLIW processors, in contrast, issue a fixed number of instructions formatted either as one large instruction or as a fixed instruction packet with the parallelism among instructions explicitly indicated by the instruction (Intel/HP Itanium) 33

VLIW: Very Large Instruction Word Each instruction has explicit coding for multiple operations In IA-64, grouping called a packet In Transmeta, grouping called a molecule (with atoms as ops) Tradeoff instruction space for simple decoding The long instruction word has room for many operations By definition, all the operations the compiler puts in the long instruction word are independent => execute in parallel E.g., 2 integer operations, 2 FP ops, 2 Memory refs, 1 branch 16 to 24 bits per field => 7*16 or 112 bits to 7*24 or 168 bits wide Need compiling technique that schedules across several branches 34

Recall: Unrolled Loop that Minimizes Stalls for Scalar 1 Loop: L.D F0,0(R1) 2 L.D F6,-8(R1) 3 L.D F10,-16(R1) L.D to ADD.D: 1 Cycle 4 L.D F14,-24(R1) ADD.D to S.D: 2 Cycles 5 ADD.D F4,F0,F2 6 ADD.D F8,F6,F2 7 ADD.D F12,F10,F2 8 ADD.D F16,F14,F2 9 S.D 0(R1),F4 10 S.D -8(R1),F8 11 S.D -16(R1),F12 12 DSUBUI R1,R1,#32 13 BNEZ R1,LOOP 14 S.D 8(R1),F16 ; 8-32 = -24 14 clock cycles, or 3.5 per iteration 35

Loop Unrolling in VLIW Memory Memory FP FP Int. op/ Clock reference 1 reference 2 operation 1 op. 2 branch L.D F0,0(R1) L.D F6,-8(R1) 1 L.D F10,-16(R1) L.D F14,-24(R1) 2 L.D F18,-32(R1) L.D F22,-40(R1) ADD.D F4,F0,F2 ADD.D F8,F6,F2 3 L.D F26,-48(R1) ADD.D F12,F10,F2 ADD.D F16,F14,F2 4 ADD.D F20,F18,F2 ADD.D F24,F22,F2 5 S.D 0(R1),F4 S.D -8(R1),F8 ADD.D F28,F26,F2 6 S.D -16(R1),F12 S.D -24(R1),F16 7 S.D -32(R1),F20 S.D -40(R1),F24 DSUBUI R1,R1,#48 8 S.D -0(R1),F28 BNEZ R1,LOOP 9 Unrolled 7 times to avoid delays 7 results in 9 clocks, or 1.3 clocks per iteration (1.8X) Average: 2.5 ops per clock, 50% efficiency Note: Need more registers in VLIW (15 vs. 6 in SS) 36

Problems with 1st Generation VLIW Increase in code size generating enough operations in a straight-line code fragment requires ambitiously unrolling loops whenever VLIW instructions are not full, unused functional units translate to wasted bits in instruction encoding Operated in lock-step; no hazard detection HW a stall in any functional unit pipeline caused entire processor to stall, since all functional units must be kept synchronized Compiler might prediction function units, but caches hard to predict Binary code compatibility Pure VLIW => different numbers of functional units and unit latencies require different versions of the code 37

Intel/HP IA-64 Explicitly Parallel Instruction Computer (EPIC) IA-64: instruction set architecture 128 64-bit integer regs + 128 82-bit floating point regs Not separate register files per functional unit as in old VLIW Hardware checks dependencies (interlocks => binary compatibility over time) Predicated execution (select 1 out of 64 1-bit flags) => fewer mispredictions? Itanium was first implementation (2001) Highly parallel and deeply pipelined hardware at 800Mhz 6-wide, 10-stage pipeline at 800Mhz on 0.18 µ process Itanium 2 is name of 2nd implementation (2005) 6-wide, 8-stage pipeline at 1666Mhz on 0.13 µ process Caches: 32 KB I, 32 KB D, 128 KB L2I, 128 KB L2D, 9216 KB L3 38

Itanium EPIC Design (Copyright: Intel at Hotchips 00) Architecture Features programmed by compiler: Branch Hints Explicit Parallelism Register Stack Predication & Rotation Data & Control Speculation Memory Hints Micro-architecture Features in hardware: Fetch Instruction Cache & Branch Predictors Issue Fast, Simple 6-Issue Register Handling 128 GR & 128 FR, Register Remap & Stack Engine Control Bypasses & Dependencies Parallel Resources 4 Integer + 4 MMX Units 2 FMACs (4 for SSE) 2 LD/ST units 32 entry ALAT Memory Subsystem Three levels of cache: L1, L2, L3 Speculation Deferral Management 39

Increasing Instruction Fetch Bandwidth Predicts next instruct address, sends it out before decoding instruction PC of branch sent to BTB When match is found, Predicted PC is returned If branch predicted taken, instruction fetch continues at Predicted PC 40

IF BW: Return Address Predictor Small buffer of return addresses acts as a stack Caches most recent return addresses Call Push a return address on stack Return Pop an address off stack & predict as new PC Misprediction frequency 70% 60% 50% 40% 30% 20% 10% 0% 0 1 2 4 8 16 Return address buffer entries go m88ksim cc1 compress xlisp ijpeg perl vortex 41

More Instruction Fetch Bandwidth Integrated branch prediction branch predictor is part of instruction fetch unit and is constantly predicting branches Instruction prefetch Instruction fetch units prefetch to deliver multiple instruct. per clock, integrating it with branch prediction Instruction memory access and buffering Fetching multiple instructions per cycle: May require accessing multiple cache blocks (prefetch to hide cost of crossing cache blocks) Provides buffering, acting as on-demand unit to provide instructions to issue stage as needed and in quantity needed 42

Speculation: Register Renaming vs. ROB Alternative to ROB is a larger physical set of registers combined with register renaming Extended registers replace function of both ROB and reservation stations Instruction issue maps names of architectural registers to physical register numbers in extended register set On issue, allocates a new unused register for the destination (which avoids WAW and WAR hazards) Speculation recovery easy because a physical register holding an instruction destination does not become the architectural register until the instruction commits Most Out-of-Order processors today use extended registers with renaming 43

Register Renaming with Extended Set of Physical Registers 44

First Pentium-4: Willamette Die Photo Heat Sink 45

The Intel Pentium 4 Microarchitecture 46

Pentium-4 Pipeline Pentium (Original 586) Pentium-II (and III) (Original 686) 20 Pipeline Stages Drive Wire Delay Trace-Cache: caching paths through the code for quick decoding. Renaming: similar to Tomasulo architecture Branch prediction and DATA prefetching! 47

32nm Intel Quad-Core Sandy Bridge (2011) 48

Value Prediction Attempts to predict value produced by instruction E.g., Loads a value that changes infrequently Value prediction is useful only if it significantly increases ILP Focus of research has been on loads; so-so results, no processor uses value prediction Related topic is address aliasing prediction RAW for load and store or WAW for 2 stores Address alias prediction is both more stable and simpler since need not actually predict the address values, only whether such values conflict Has been used by a few processors 49

Perspective Interest in multiple-issue because wanted to improve performance without affecting uniprocessor programming model Taking advantage of ILP is conceptually simple, but design problems are amazingly complex in practice Conservative in ideas, just faster clock and bigger Recent processors (Intel Pentium, IBM Power 5, AMD Opteron) have the same basic structure and similar sustained issue rates (3 to 4 instructions per clock) as the 1st dynamically scheduled, multiple-issue processors announced in 1995 Clocks 10 to 20X faster, caches 5 to 20X bigger, 2 to 4X as many renaming registers, and 2X as many load-store units performance 8 to 16X Peak v. delivered performance gap increasing 50

Acknowledgements These slides contain material developed and copyright by: Morgan Kauffmann (Elsevier, Inc.) Arvind (MIT) Krste Asanovic (MIT/UCB) Joel Emer (Intel/MIT) James Hoe (CMU) John Kubiatowicz (UCB) Christos Kozyrakis (Stanford) David Patterson (UCB) 51