Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Similar documents
Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

Xilinx Tutorial Basic Walk-through

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Tutorial: Working with the Xilinx tools 14.4

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

Boise State University Digital Systems Laboratory

ECE 4305 Computer Architecture Lab #1

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Introduction. About this tutorial. How to use this tutorial

Circuit design with configurable devices (FPGA)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

ELEC 204 Digital System Design LABORATORY MANUAL

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Programmable Logic Design I

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

To practice combinational logic on Logisim and Xilinx ISE tools. ...

Xilinx ISE Synthesis Tutorial

FPGA Design Tutorial

Verilog Design Entry, Synthesis, and Behavioral Simulation

Altera Quartus II Tutorial ECE 552

Xilinx Schematic Entry Tutorial

COS/ELE 375 Verilog & Design Tools Tutorial

TLL5000 Electronic System Design Base Module

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Using Synplify Pro, ISE and ModelSim

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

PlanAhead Release Notes

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

Revision: January 28, Henley Court Pullman, WA (509) Voice and Fax

CCE 3202 Advanced Digital System Design

Quick Front-to-Back Overview Tutorial

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

Xilinx Project Navigator Reference Guide

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

MANUAL XILINX ISE PROJECT NAVIGATOR

CECS LAB 1 Introduction to Xilinx EDA Tools

Lab 1: FPGA Physical Layout

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Tutorial on Quartus II Introduction Using Verilog Code

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

PlanAhead Software Tutorial

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

How to Get Started. Figure 3

Xilinx Vivado/SDK Tutorial

MaxPlus II Tutorial with Basic Graphical Gate Entry and Simulation Obtaining the MaxPlus Software: Example Problem: Y = A*/B + /C

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE

ISE Design Suite Software Manuals and Help

Introduction to WebPACK 4.1 for CPLDs. Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board

Tutorial for Altera DE1 and Quartus II

Digital Circuit Design Using Xilinx ISE Tools

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

Vivado Design Suite Tutorial. Design Flows Overview

Introduction to WebPACK 6.3. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Programmable Logic Design I

The Alarm System: The alarm system to be designed has the following inputs.

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

QuartusII.doc 25/02/2005 Page 1

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

Getting Started with Xilinx WebPack 13.1

OVERVIEW OF FPGA AND EDA SOFTWARE

CPLD Experiment 4. XOR and XNOR Gates with Applications

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

SCHEMATIC DESIGN IN QUARTUS

Step 1: Downloading the source files

Introduction to WebPACK 8.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Introduction to WebPACK 4.1 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

EXPERIMENT 1. INTRODUCTION TO ALTERA

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Since you can designate as many symbols as needed as baseline symbols it s possible to show multiple baselines with unique symbology.

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

ELEC 4200 Lab#0 Tutorial

Vivado Design Suite User Guide

Tutorial on Quartus II Introduction Using Schematic Designs

Department of Electrical and Computer Engineering Xilinx ISIM <Release Version: 14.1i> Simulation Tutorial Using Verilog

Transcription:

Xilinx ISE WebPACK Schematic Capture Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides instruction for using the Xilinx ISE WebPACK toolset for basic development on Digilent system boards. This tutorial covers the following steps: Creating a Xilinx ISE project Using schematic capture to create logic circuits and symbol elements Creating a User Constraints File (UCF) Synthesizing, implementing, and generating a Programming file More detailed tutorials for the Xilinx ISE tools can be found at http://www.xilinx.com/support/techsup/tutorials/. Getting Started First, install Xilinx ISE WebPACK on your PC or laptop. This tutorial is based on version 11.1. It is available as a free download from www.xilinx.com. This tutorial uses settings for the Nexys2 500k board, which can be purchased from. The settings for other Digilent system boards can be found there as well. Starting a New Project To create a new project, open Project Navigator either from the Desktop shortcut icon or by selecting Start > Programs > Xilinx ISE Design Suite 11 > ISE > Project Navigator. In Project Navigator, select the New Project option from the Getting Started menu (or by selecting Select File > New Project). This brings up a Dialog box where you can enter the desired project name and project location. You should choose a meaningful name for easy reference. In this tutorial, we call this project example1 and save it in a local directory. You can place comments for your project in the Description text box. We use Schematic for our top-level source type in this tutorial. Doc: 594-000 page 1 of 15

page 2 of 15

The next step is to select the proper Family, Device, and Package for your project. This depends on the chip you are targeting for the project. The appropriate settings for a project suited for the Nexys2 500k board are as follows: Once the appropriate settings have been entered, click Next. The next two dialog boxes give you the option of adding new or existing source files to your project. Since we will fulfill these steps later, click Next without adding any source files. page 3 of 15

Before the new project is created, the New Project Wizard gives you a project summary consisting of the selected specifications you have chosen for the project. Make sure all settings are correct before clicking Finish to end the New Project Wizard. Any modifications to these settings can be made by clicking the Back button. page 4 of 15

Project Navigator Overview Once the new project has been created, ISE opens the project in Project Navigator. Click the Design tab to show the Design panel and click the Console tab to show the Consol panel. The Design panel (1) contains two windows: a Sources window that displays all source files associated with the current design and a Process window that displays all available processes that can be run on a selected source file. The Console panel (2) displays status messages including error and warning messages. The HDL editor window (3) displays source code or the schematic from files selected in the Design panel. page 5 of 15

Adding New Source Files Once the new project is created, two sources are listed under sources in the Design panel: the Project file name and the Device targeted for design. You can add a new or existing source file to the project. To do this, right-click the target device and select one of the three options for adding source files. page 6 of 15

In this tutorial, we create a new source file, so select New Source from the list. This starts the New Source Wizard, which prompts you for the Source type and file name. Select Schematic and give it a meaningful name (we name it circuit1). page 7 of 15

Schematic Editor Window Once you have created the new schematic file you can see it in the Sources panel. Doubleclick it to open the file in the schematic capture window as follows: You can add symbols and shapes representing logic gates or logic circuits and then add lines representing wires to connect those shapes. On the screen, there are two list boxes labeled Symbols and Categories. The Symbols list shows all of the symbols in selected category in the Categories list. For example, the <--All symbols--> category displays all symbols in the current library in the Symbols list. In this tutorial, we use a simple combinational logic example, and then show how it can be used as a macro symbol in another schematic module. We start with the basic logic equation: Y<= (A B) + C. To add a simple logic gate to the circuit, left-click to select a symbol from the Symbols list, drag the cursor to the pallet where you want the symbol to be placed, and left-click again to drop the gate in the schematic. You can also find a symbol by typing a name into the symbol name filter. page 8 of 15

The following figure contains descriptions for the tools necessary for creating a basic digital circuit using schematic capture: 1. The Magnifying Glass icon indiscriminately zooms to the center of the schematic. 2. The Zoom box icon is used to draw a box using the mouse to magnify a specific area of the schematic. 3. The Wire-Add tool icon places cursor in wire-add mode. 4. The Add I/O marker tool icon places cursor into add I/O marker mode. Now we connect the gates with wires. To do this, left-click on the wire-add tool button to change to wire-add mode. Drag the cursor to a component pin where four red boxes appear (they indicate that a left-click will add one end of the wire to the pin). Using this method you can add wires between pins of gates. To move a gate, you must go back to select mode by either selecting the cursor in the tool bar or pressing the Esc button on the keyboard. page 9 of 15

To terminate one end of a wire without connecting it to a gate, double-click where you want the wire to end in space. This is used for general circuit inputs and outputs. Adding top-level I/O markers to your circuit tells the synthesizer and simulator tools which ports to regard as overall inputs and outputs. To add these to your circuit, left-click on the Add I/O marker tool icon to place the cursor into add I/O marker mode. Left-click on the end of a wire to add an I/O marker and then repeat until a marker is placed on all inputs and outputs. Go back to regular cursor mode and double left-click on an I/O marker. When the I/O marker s object properties dialog box appears, select the Nets category and enter a meaningful value for the Name field of the I/O marker. The finished dialog box should look similar to the following: Repeat this process for all I/O. The finished circuit should look similar to the following: Now we create a macro symbol for future use of this completed circuit. To create a macro circuit, select Tools > Symbol Wizard from the main menu toolbar. The Symbol Wizard dialog box appears. Under Pin name source, select Using schematic which defaults to the circuit you just created, circuit1. page 10 of 15

The next two dialog boxes present general pin placement and symbol size options that you can modify depending on how you want the schematic symbol to appear. The final dialog box gives you a preview of the symbol, given the settings you ve selected. Click Finish to finalize the symbol or Back to modify the symbol settings. Save changes to the current schematic editor session before closing the schematic file and return to the Project Navigator main window. Right-click on the device to add a new schematic source file; we call ours circuit2. In the new schematic editor session you can now find the newly created symbol for circuit1 in the symbols window and add it to your overall design. page 11 of 15

The following figure shows our completed example of using a macro symbol to build the overall circuit Y<= (A B) + (C D): Using this method, you can create complex circuits by adding any components from the Xilinx library or by building your own components and adding them to an even larger design. UCF File Creation The Xilinx tools use a User Constraints File (.ucf file) to define user constraints like physical pin to circuit net mappings. This is sometimes referred to as an Implementation Constraints File. The.ucf file can be modified inside ISE using a text editor. To add a.ucf file to your design, go to the Sources window and right-click the source file that requires user constraints. Select the Add New Source option in the drop-down menu. The New Source Wizard prompts you for the Source type and file name. Select Implementation Constraints File and give it a meaningful name (we name it circuit2). To edit the.ucf file, select it in the Sources window, expand the User Constraints option in the Processes window below, and double-click the Edit Constraints (Text) option. A blank text editor appears. page 12 of 15

To associate a physical pin with a given net name, type: NET netname LOC = XXX ; on a line in the.ucf file. In the statement, netname (quotes included) is the name of the net to attach to pin number XXX (quotes included). For our example project, the four inputs are assigned to switches 0 through 3 and the output is assigned to LED0 on the Nexys2 board. The finished.ucf file is as follows: page 13 of 15

Programming File Generation Now we are ready to create a programming file (.bit) for the Nexys2 FPGA. Go to the Sources window and select the top-level module (indicated by the three blocks shown with the source name.) Now go to the Processes window where there are three particular processes in a row: 1. Synthesize XST 2. Implement Design 3. Generate Programming file Run the synthesis process by either double-clicking on Synthesize or left-clicking and selecting the run option. This process analyzes the circuit you have created, checking for valid connections, syntax, and structure, to verify that the circuit is valid and synthesizable. If the Synthesize process does not return any errors, you can move on and run the Implement Design process. This process uses various algorithms to map out the digital circuit and then creates place and route information so that it can be placed on the physical FPGA. page 14 of 15