Power Management Using FPGA Architectural Features. Abu Eghan, Principal Engineer Xilinx Inc.

Similar documents
Power Considerations in High Performance FPGAs. Abu Eghan, Principal Engineer Xilinx Inc.

Power Consumption in 65 nm FPGAs

Stacked Silicon Interconnect Technology (SSIT)

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Xilinx SSI Technology Concept to Silicon Development Overview

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Advancing high performance heterogeneous integration through die stacking

Power vs. Performance: The 90 nm Inflection Point

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

FPGA Power Management and Modeling Techniques

Beyond Moore. Beyond Programmable Logic.

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput

ECE 486/586. Computer Architecture. Lecture # 2

Physical Implementation

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Power. Management. Solution Guide. Solving Today's Hot Challenge INSIDE

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Chapter 5: ASICs Vs. PLDs

Chapter 1 Introduction of Electronic Packaging

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

3D & Advanced Packaging

Additional Slides for Lecture 17. EE 271 Lecture 17

Introduction Overview Of Intel Packaging Technology

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Introduction to FPGAs. H. Krüger Bonn University

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeXP2 Devices

Burn-in & Test Socket Workshop

High-Performance Memory Interfaces Made Easy

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Low-Power Technology for Image-Processing LSIs

High Performance Memory in FPGAs

TechSearch International, Inc.

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

XPERTS CORNER. Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior

INTRODUCTION. icegate

More Course Information

Transistors and Wires

Packaging Technology for Image-Processing LSI

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Power Optimization in FPGA Designs

High Connection Density, Inc. NexMod Technology Solutions

ISE Design Suite Software Manuals and Help

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05

Unleashing the Power of Embedded DRAM

Power Estimation and Management for MachXO Devices

INTRODUCTION TO FPGA ARCHITECTURE

Stratix II vs. Virtex-4 Power Comparison & Estimation Accuracy

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

Thermal Considerations in Package Stacking and Advanced Module Technology

EE241 - Spring 2004 Advanced Digital Integrated Circuits

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

Zynq AP SoC Family

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

Best Engineering Practice to Extend the Free Air-Cooling Limit in Tablet Hand Held Devices AMD TFE 2011

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

On GPU Bus Power Reduction with 3D IC Technologies

EE586 VLSI Design. Partha Pande School of EECS Washington State University

2011 Signal Processing CoDR: Technology Roadmap W. Turner SPDO. 14 th April 2011

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

FPGA Programming Technology

SFC05-4 ChipClamp ΤΜ Flip Chip TVS Diode Array PRELIMINARY Features

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Chip/Package/Board Design Flow

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

Xilinx DSP. High Performance Signal Processing. January 1998

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Power and Thermal Estimation and Management for MachXO3 Devices

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Design Methodologies. Full-Custom Design

PowerPlay Early Power Estimator User Guide

QuiXilica V5 Architecture

Package (1C) Young Won Lim 3/20/13

ECE 4514 Digital Design II. Spring Lecture 22: Design Economics: FPGAs, ASICs, Full Custom

3-D Package Integration Enabling Technologies

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005

Transcription:

Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc.

Agenda Introduction Impact of Technology Node Adoption Programmability & FPGA Expanding Application Space Review of FPGA Power characteristics Areas for power consideration Architecture Features, Silicon design & Fabrication now and future Power & Package choices Software & Implementation of Features The end-user choices & Enablers Thermal Management Enabling tools Summary Slide 2

Technology Node Adoption in FPGA New Tech. node Adoption & level of integration: Opportunities at 90nm, 65nm and beyond. FPGAs at leading edge of node adoption. More Programmable logic Arrays Higher clock speeds capability and higher performance Increased adoption of Embedded Blocks: Processors, SERDES, BRAMs, DCM, Xtreme DSP, Ethernet MAC etc Impact general and may not be unique to FPGA Increased need to manage leakage current and static power Heat flux (watts/cm2) trend is generally up and can be non-uniform. Potentially higher dynamic power as transistor counts soar. Power Challenges -- Shared with Industry Reliability limitation & lower operating temperatures Performance & Cost Trade-offs Lower thermal budgets Battery Life expectancy challenges Slide 3

FPGA-101: FPGA Terms FPGA Field Programmable Gate Arrays Configurable Logic Blocks used to implement a wide range of arbitrary digital functions. Programmable I/O Blocks Routing resources Switch matrix and interconnects/pass gates Configuration -- configuration memory & Configuration states Modern Platform FPGA Key FPGA attribute regardless of what heterogeneous functions and elements are incorporated - is the ability to implement arbitrary digital logic circuit. Slide 4

FPGA Attributes The upside some unique attributes Need more transistors to implement solutions due to programmability overhead. Die size is relatively large power density is moderate. More standby or passive resources reduced power density Menu of packages offered - with broad heat handling capability, Software & programmability Opportunities to deal with power at the implementation level Flexible and Optional Architectural features The challenge to Packaging Flexibility means end-user power needs are largely unknown Tailored thermal solution no such luck Slide 5

Market & Their Needs Where FPGAs are used Today Markets: Wired/wireless infrastructure equipment base stations Modular racks in storage/servers Consumer & Automotive Telematics & entertainment Industrial & Mil/Aero battle tanks, planes, radar etc Common requirement Bigger, faster, cheaper! Reduce the need for heatsinks or at least the cost of thermal solution Lower power supply overhead & complexity Revenue by End Market High-end FPGA Data Processing 8% Consumer & Auto 15% 45% Communications 32% Industrial & Other Slide 6

Power Density in Platform FPGA Source: Xilinx XPE data reported by Priya Sundararajan etc al ICCAD 06 Density Uniformity no longer a given But the magnitude remains manageable trade up density for efficiency Slide 7

The Relative Magnitude of FPGA Power For high performance Offerings FPGAs are in the 1 10watts/cm 2 For small devices this is well below 1 watt/cm 2, and typically less than 0.5. Relative to Processors & Graphics Chips, FPGA power density is lukewarm, but can surprise some users Conventional Pkg Air cooling; Solutions already exist - Rsa How can we make this a nonissue? Slide 8 Components 2006/8 High End FPGA 2002 K7-AMD Mobile/2006 AMD Turion INTEL Mobile eg 2002 P4 or 2004 Pm Transmetta 88 series Approx Power 10 30 Watts 10 35 Watts Range Today s FPGA Power relative to early 2000 Mobile Processor Power

The 2 Power Components 1. Static: Power from Transistor leakage or bias Current The larger the device (>> transistors) the higher this component Programmable transistors in FPGAs add to this power It is not activity based, but increases with temperature Gets worse with newer (leaky transistor) technologies. 2. Dynamic: Activity based similar to other ICs Depends on switching frequency, Capacitance and Voltage levels Improves with newer smaller nodes for same design Remains relatively flat with temperature Slide 9

Power Consumption Breakdown FPGA Power Consumption is design dependent XC3S1000 at 100MHz, with 12.5% toggle rate, typical resource utilization. Breakdown shows areas in silicon & implementation that can yield good results Slide 10

Focus Question: How can we leverage the features of the FPGA architecture, the flexibility of FPGA (programmability & placement) to address Power Management challenges? Answer: Some of the work and features are discussed - Balance of discussion Focus areas grouped into 4 broad areas encompassing Architecture & Silicon, menu of packages, Design & Implementation software, and thermal management tools and models. Slide 11

The Focus Areas (Reduce Generation & Improve Removal) Architecture improvements in Silicon - design & fabrication The Package menu: FCBGA with consistent TIM for high powered products, down to small form factor (like QFN) for hand-held Software implementation of Enduser design Programmability, flexibility & smart design Options like I/O choices etc Enabling the end-user to make their contributions Thermal Management Enabling tools Thermal Models etc Low Power Design Physical Design ( Architecture Features, Circuits & Process) Package Choices Power Management ISE Software Power Optimization System-Level Design Choices and Enabling Tools & Models Slide 12

Considerations - 1 The Silicon: Design & Implementation contribution to Heat generation Addressing Low Power Design Physical Design ( Architecture Features, Circuits & Process) Package Choices Power Management ISE Software Power Optimization System-Level Design Choices and Enabling Tools & Models Slide 13

Static Power Increases Dramatically with Temperature 7 I CCINTQ vs Junction Temperature 6 gate I CCINTQ Normalized Leakage Current 5 4 3 2 source I GATE I S D 25 C drain 85 C 100 C 1 0-40 -20 0 20 40 60 80 100 120 140 Junction Temp C Slide 14

FPGA Power Components XC3S1000 Slide 15

Mixed-Oxide Technology Leakage current increases as channel length and gate oxide thickness decrease Same oxide thickness is not needed in all the transistors A low-leakage Midox transistor type is used to reduce leakage in configuration memory cells Transistors with longer channel length and higher threshold are used through out the FPGA to reduce static power Eg.130nm to 90nm can reduce static power by 50% at 100K gate level. Relative Static Power (Worst Case process & 85 ºC) Source Metal Connection 6 5 4 3 2 1 0 0 Channel Gate Oxide varying thicknesses on die. 130nm Trend 50 % Lower Power 90nm FPGA Trend 25K 50K 75K 100K 125K 150K 175K 200K Logic Cells Slide 16

Dynamic Power Component Dynamic Power P = kcv 2 f where k = Nodes switching C = Capacitance per node V = Voltage switching f = switching frequency or toggle rate Reduced Core dynamic power Internal operating voltage is the dominant factor (1.5 =>1.2=>1.0) Secondary scaling by frequency and node capacitance Dynamic power consumption is addressed with low capacitance circuits and custom blocks Each successive node helps to reduce power same gate count I/O dynamic power gain limited Similar capacitance, voltage and frequency is required to support industry I/O standards Slide 17 Relative Core Power % 100 130nm 80 60 40 20 0 FPGA Core Dynamic Power Trend 90nm Voltage drops Node Capacitance drops 65nm 100 80 60 40 20 0 Relative Capacitance or Voltage

Hard IPs As Power Saving Feature Architecture Features Larger logic blocks; smaller RAMs Embedded hardblocks give >10X power efficiency over soft-ips Trades moderate power density for overall lower power Slide 18

Why Hard-IPs help Reduced Static Power No extra transistors as in programmable logic No programmable interconnect transistors Reduced Dynamic Power Lower switching Transistor count Metal Interconnects vs. Metal and programmable interconnects low interconnect capacitance Reduced trace lengths No extra node capacitance because of lack of pass transistors Minimized layers of logic Non-optimized Placement issues eliminated Examples of Hard IP see next slide for some IPs 5 12x Reduction on power over FPGA fabric and FPGA programmable interconnects Slide 19

Virtex Series Hard IP Examples 500 MHz Logic Array 500 MHz Differential Clocking 1 Gbps diff I/O with ChipSync 450 MHz PowerPC with APU Virtex FPGA 10/100/1000 Ethernet MAC 500 MHz XtremeDSP Slice 500 MHz BRAM and FIFO Slide 20

Insights - Low-Power Research Focus areas that are receiving attention but may not yet be in commercial implementations Voltage Scaling: - 40 70% Trade off performance with low Power for CLBs Current Power sensitive CLB circuits need to be more robust Fine Grain Power Switching: ~ 30% Block level power switching Controlling leakage in those passive elements that may not be participating in the circuit implementation Deep Sleep Mode - during idle Deep sleep with faster wake-up state than hibernation Dynamically control fine-grain power switches to power down all block and maintain configuration state Heterogeneous Fabric: Banks of fabric with different power rails an idea. Slide 21

Package Considerations The Package implementation Contribution to Thermal Management Addressing Low Power Design Physical Design ( Architecture Features, Circuits & Process) Package Choices Power Management ISE Software Power Optimization System-Level Design Choices and Enabling Tools & Models Slide 22

Spectrum of FPGA Packages Variety of packages to address Power & Market needs FCBGA PQFP PQ208/240 - Spartans QFPs Cavity Down BGAs Highest Power / Thermal Dissipation High Performance Interconnect Enabler High Speed Switching Systems Advanced High-End Products Tape Array CSPs (CP, FT SERIES) High Power / Thermal Dissipation High Density / IOs High Performance / Frequency Design Feature Crammed, High Speed Switching Systems CLCC Miniaturization, Light Weight Wireless Communication Height Restriction PCMCIA, Portables Low Cost and High Volume PBGAs (1.27 and 1.00 pitch) Mid-Range / Mainstream General Functions Off-the-Shelf User Friendly Cost Effective TSOPs PGAs Special & Market Specific PROM, General Functions High Reliability FC-CGA Slide 23

Attributes - High End Packages FPGA s traditionally have inherent lower Power Density Hot Spot has not been the issue; But beginning to change with IP Smooth Nickel finished Copper Lid Flipchip BGA are in use for all High power Devices The Focus is on TIM-1 interface - spreading heat with. Thinner & Consistent BLT Processing Repeatability Long term stability no pump out Packages that offer Low resistance theta-jc platform for heat sink applications Random utilization Blocks at Random Power levels Worse case Blocks at Max - Power Slide 24

Improving Package Internal Spreading Capability Leveraging on board - In the telecom and Network environment FPGA products are used with over 18 layers of PCB a source for heat spreading. Conscious improvement of heatspreading through package to board can minimize size of heatsink. Up to 20% lower θjb is possible to achieve through. Making use of over 4000 high density bumps; Improved hot spot management Increase vertical thermal conductivity through a denser and distributed via field in substrates Copper density on each layer of substrate > 85%; to enhance internal conductivity Slide 25

Software & Implementation Considerations ISE Tool Contribution to Total Thermal management System Architecture Level Controls - Design & Placement Implementation Addressing Low Power Design Physical Design ( Architecture Features, Circuits & Process) Package Choices Power Management ISE Software Power Optimization System-Level Design Choices and Enabling Tools & Models Slide 26

System Architecture Level Controls Biggest Optimization BanG starts implemntation strategy & the software to help manage it. This is where choices are made: PlanAhead Floor planning Partitioning & Placement thermal awareness Possible modes of operation (hibernation etc) Selection of features & IPs, and I/O standards Performance vrs economy Lets review a couple of these Slide 27

FPGA Power Saving Features FPGA Implementation Optimization Power-aware placement and routing: Optimized For performance time driven Optimized for lower power power driven Early and detailed power analysis for feedback to identify key sources of power Xilinx Xpower block level power estimation Your actual mileage will depend on the course you take and your driving habits Slide 28

Optimizations for Power Some Design Choices Feature selection, including power conservation features, impacts power Needs to be present on silicon: Suspend mode: Idle with state retention when inactive Hibernate mode: Idle w/o state retention Back off on peak performance for lower power (voltage scaling) Turn off unused portions (power gating) Dynamic Power varies linearly with frequency Implement non-critical functions to run on a low speed clock rather than an arbitrary high speed clock in the design I/O standards Selection Options Choose Hard-IPs as part of implementation. Slide 29

Considerations - 4 Supporting the users in their System level Thermal management On Chip System Monitors Power estimations tools Thermal models Addressing Low Power Design Physical Design ( Architecture Features, Circuits & Process) Package Choices Power Management ISE Software Power Optimization System-Level Design Choices and Enabling Tools & Models Slide 30

System Monitor Available on High Performance FPGAs Single-chip solution for monitoring supply voltages and temperature on FPGA Easy to use & self-contained for On Chip Temperature & Voltages Full access from FPGA fabric or JTAG TAP to System Monitor for handling alarms and feedback Fully operational prior to FPGA configuration and during device power down (access via JTAG TAP only) Auto chip power down if 125 C is detected on-chip disabled by default Slide 31

Pre & Post-Implementation XPower in ISE software Integration in Design Flow Considers device data, along with the user's design files Report estimated device power consumption at high level of accuracy customized to specific design Enables FPGA designers to perform detailed power analysis Tool extracts utilization from ISE and toggle rates from test vectors and simulation Output Use the GUI or detailed report to see what is consuming the power FPGA nets can be highlighted in XPower and shown in FPGA Editor Power Analysis Slide 32

CTM for Thermal Budget Planning Two model types offered by Xilinx Accurate temperature prediction of FPGA components at the system level has become critical due to the shrinking thermal budget Xilinx provides Compact Thermal Models (CTMs) for system thermal budget planning These behavioral models predict package temperature at the selected nodes (e.g., junction, case, and balls) when integrated with other ICs. CTM libraries are Downloadable from Xilinx.com for usage in conjunction with customers thermal simulation tools. Slide 33

Summary of Key End-user Strategies & Choices Package Selection provides basis for improvement Power Estimation & Optimization - Identify the power consuming blocks through look ahead software and optimizing Pay attention to Power Supply levels & Operating Temperature itself. The need to keep things cool is paramount. Implement some form of suspend or Hibernate mode. Make use of lower power I/O standards. Make use of Embedded blocks to implement design Clock generators smart choices Take advantage of thermal management enablers Improvements in system and device reliability through significant power reduction techniques and package enhancements are still possible in FPGAs Slide 34

Thanks for your attention Acknowledgements: Matt Klein. Tim Tuan, Xilinx Inc. for their inputs & contribution Slide 35