Digital Logic Design Lab

Similar documents
Hardware Description Languages (HDLs) Verilog

PINE TRAINING ACADEMY

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Don t expect to be able to write and debug your code during the lab session.

Institute of Engineering & Management

Lecture #1: Introduction

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

HW1 Modeling Concepts

Lab 3 Verilog Simulation Mapping

Hardware Resources in Digital Systems Teaching

Building Combinatorial Circuit Using Behavioral Modeling Lab

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Lab 6 : Introduction to Verilog

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Lab Manual for COE 203: Digital Design Lab

Digital Design with FPGAs. By Neeraj Kulkarni

This content has been downloaded from IOPscience. Please scroll down to see the full text.

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

(ii) Simplify and implement the following SOP function using NOR gates:

EE178 Spring 2018 Lecture Module 1. Eric Crabill

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

World Class Verilog & SystemVerilog Training

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

DESIGN STRATEGIES & TOOLS UTILIZED

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

ELEC 204 Digital System Design LABORATORY MANUAL

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

MLR Institute of Technology

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

Digital Systems Laboratory

Verilog for High Performance

Topics. Midterm Finish Chapter 7

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

PREFACE. Changes to the SOPC Edition

Department of Computer Science & Engineering. Lab Manual DIGITAL LAB. Class: 2nd yr, 3rd sem SYLLABUS

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

EE 361L Digital Systems and Computer Design Laboratory

Laboratory 05. Single-Cycle MIPS CPU Design smaller: 16-bits version One clock cycle per instruction

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

ECE 152A LABORATORY 2

A Brief Introduction to Verilog Hardware Definition Language (HDL)

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

FPGA Based Digital Design Using Verilog HDL

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

The QR code here provides a shortcut to go to the course webpage.

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

FPGA Design Tutorial

Topics. Midterm Finish Chapter 7

Verilog Design Entry, Synthesis, and Behavioral Simulation


Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Fundamentals of Digital System Design ECE 3700, CPSC 3700

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

Register Transfer Level in Verilog: Part I

: : (91-44) (Office) (91-44) (Residence)

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

VHDL for Synthesis. Course Description. Course Duration. Goals

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Department of Computer Science and Engineering Khulna University of Engineering & Technology Khulna , Bangladesh. Course Plan/Profile

Elec 326: Digital Logic Design

Problem solving using standard programming techniques and Turbo C compiler.

Nikhil Gupta. FPGA Challenge Takneek 2012

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 09 MULTIPLEXERS

Digital logic fundamentals. Question Bank. Unit I

Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING. Fifth Semester. Subject: VHDL Programming

register:a group of binary cells suitable for holding binary information flip-flops + gates

RTL Coding General Concepts

LAB #3: ADDERS and COMPARATORS using 3 types of Verilog Modeling

Using Verilog HDL to Teach Computer Architecture Concepts

Introduction to Verilog and XILINX

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

ENEE 245 Lab 1 Report Rubrics

Laboratory Exercise #6 Introduction to Logic Simulation and Verilog

ENEE245 Digital Circuits and Systems Lab Manual

Digital System Design with SystemVerilog

R10. II B. Tech I Semester, Supplementary Examinations, May

Hardware Synthesis. References

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

Introduction to Field Programmable Gate Arrays

The University of Reduced Instruction Set Computer (MARC)

Field Programmable Gate Array (FPGA)

Design Methodologies and Tools. Full-Custom Design

Combinational Logic II

Digital Integrated Circuits

Philadelphia University Student Name: Student Number:

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

Transcription:

Digital Logic Design Lab DEPARTMENT OF ELECTRICAL ENGINEERING LAB BROCHURE

DIGITAL LOGIC DESIGN LABORATORY CONTENTS Lab Venue... 3 Lab Objectives & Courses... 3 Lab Description & Experiments... 4 Hardware Experiments... 5 Verilog Experiments... 6 Lab Resources... 7 Page 2

Objectives & Courses DLD Lab Venue: Computer Interfacing Lab First Floor, Electrical Department Lab Venue The Digital Logic Design Lab (DLD Lab) is one of the most important and well equipped lab of the Department of Electrical Engineering at University of Engineering and Technology, Lahore. This lab is conducted at the Computer Interfacing Lab situated at the first floor of the Electrical Engineering Department. Scope of the Lab The DLD Lab is for undergraduate coursework related to the course EE131. It is one of the core modules of B. Sc. Electrical Engineering therefore the lab has a significant importance in the department. Related Courses This lab is designed such that the students get a hands on familiarity with the concepts they come across in the course EE131 that is the Digital Systems course. This is an undergraduate course which deals with the basics of digital systems design and is a core module of the B. Sc. Electrical Engineering coursework as it provides the prerequisites for advance courses in digital electronics. Because of the significance of this course the DLD Lab has been carefully designed to meet the course requirement. Brief Overview of the Lab The Lab is well equipped with both hardware and software facilities required by the students to perform the necessary experiments designed for this lab. Details of the lab equipment has been discussed in a proceeding section. Experiments are designed in such a way that the students become well aware of the concepts they learn in the theory sessions. A list of experiments that are conducted in this lab has also been mentioned in a proceeding section. Experiments are related to both digital hardware and Verilog Programming. Page 3

Lab Description & Experiments Lab Description The Experiments in the Lab have been divided into two major portions: Hardware Labs Hardware Description Language (Verilog) Labs Hardware Labs have been designed to familiarize students with the Combinational Digital Logic Design and Sequential Digital Logic Design through the implementation of Digital Logic Circuits using ICs of basic logic gates and some simple digital logic circuits. HDL (Verilog) Labs have been designed to familiarize students with the HDL based Digital Design Flow. These labs introduce students with different levels of coding available in Verilog i.e. Gate level, Dataflow level and Behavioral level. Xilinx ISE 7.1 tools have been used in these labs. Finally, the skills learnt in the HDL labs are employed to implement some digital logic circuits on Spartan-3 FPGA, using Xilinx Starter Kit Development Board. A Lab Demonstration Expected Outcomes With the help of the two threads of the lab mentioned above, students will have clear understanding of all the three paradigms of implementation of digital logic circuits: Implementation using ICs for basic logic gates and simple circuits Implementation through the Development of Dedicated IC(ASIC) Implementation through Reconfigurable Logic (i.e. FPGA) This makes students adept in basic concepts involved in digital logic design. The lab contributes a lot to the basic learning of digital systems. This shows the indispensability of the DLD Lab. List of Experiments List of experiments is given on page 5 and 6. As mentioned before the lab has two major portions therefore there are two lists of experiments one related to the hardware labs and the other related to the hardware description language (verilog) labs. All these experiments are mandatory and each lab is followed by specially designed assignments. A Digital Chip (inside view) Page 4

Hardware Experiments TITLE 1 To Verify the Behavior of Logic Gates using Truth Table and Familiarization with Digital Integrated Circuits TOPICS Basic Logic Gates, Truth Table, Integrated Circuits 2 Implementation of Boolean Function using Logic Gates and Introduction to Boolean Functions, Boolean Algebra, 3 Familiarization with the Different Portions of the Datasheet for a Digital IC and Using the Datasheet to Gather Relevant Information to Utilize the IC as a Component in another Digital Logic Circuit Datasheet of a Digital Logic IC, 4 Implementation of 8 bit Binary Comparator using 4 bit Binary Comparators 5 Implementation of 4bit into 3bit Binary Multiplier using 4bit Binary Adders Binary Comparator, Binary Multiplication, 6 Implementation of BCD Adder using 4bit Binary Adders, 4 to 7 Segment Decoder and 2Digit 7 Segment Display BCD addition, 7 Implementing a Full Adder using (a) Decoder (b) Multiplexer Implementation of Boolean function using Decoder, Implementation of Boolean function using Multiplexer 8 Flip Flops Different Types of Flip Flops 9 To study the fundamentals of basic counters and to construct various types of counters Counters Page 5

Verilog Experiments TITLE TOPICS 1 Introduction to HDL based Digital Design Methodology HDL based Digital Design Flow using Verilog, Introduction to Outsourcing Business Model 2 Introduction to Basic Syntax of Verilog and Gate level Modeling through implementation of half adder at gate level and its simulation using Xilinx ISE tools Basic Concepts of Verilog, Modules and Ports, Gatelevel coding in Verilog, 3 Introduction to the concepts of Instantiation and Hierarchical Design in Verilog through the implementation of full adder using the previously designed half adder modules Hierarchical Design in Verilog 4 Introduction to the Concept of Vectors and Introduction to Dataflow modeling through implementation of half adder and full adder at dataflow level Vectors in Verilog, Dataflow level coding in Verilog 5 Consolidation of the concepts of Dataflow level modeling and Introduction to the concept of Synthesis by the CAD tool Dataflow level coding in Verilog, Logic Synthesis 6 Introduction to Behavioral modeling through implementation of half adder and full adder at behavioral level. 7 Introduction to if else statement and case statement in Behavioral modeling through implementation of Multiplexer 8 Introduction to the Concepts of Sequential Circuit and a TestBench module (Stimulus Block) Behavioral level coding in Verilog if else and case statements in Verilog Sequential circuits in Verilog, Concept of Testbench module in Verilog 9 Behavioral Level Coding of Basic Sequential Circuits and Consolidation of the concepts of TestBench module (Stimulus Block) 10 Introduction to Field Programmable Gate Array(FPGA) and Steps involved in its Programming Sequential circuits in Verilog Need for Reconfigurable Logic, Xilinx ISE Tools for Programming the Xilinx FPGAs Page 6

Lab Resources Hardware Resources The lab is fully equipped with all the hardware required to conduct the above mentioned experiments. The hardware resources of the lab are: Pentium-IV PCs (with MS WinXp OS) Hardware trainers for logic circuit design and analysis Electronic Chips of all digital gates Spartan-III FPGA board kits Power Supplies These resources allow the students to have a hands on experience of basic digital logic design concepts. This activity greatly leverages what the students learn in the theory sessions. Software Resources The lab also consists of the software resources required by the students namely: Veriwell ModelSim Xilinx IDE Matlab A Digital Circuit Board Software resources are equally important as hardware resources are. These software resources are sufficient for the students to perform experiments. These softwares provide the students with the necessary platform to work on HDL that is the Verilog. These softwares are also required to work with the sophisticated hardwares like Spartan-III FPGA boards. The lab has all the resources whether related to hardware or software so that the students become adept in the basic field of digital electronics. Students are encouraged to use the lab resources to perform activities and experiments which help them strengthen their concepts. Lab Staff Like other labs of the department there is a trained and able staff consisting of skilled lab technicians that take care of the lab equipment. They also guide students about handling the lab equipment and the precautionary measures required for the students while working in the lab. A Simulation Page 7

DIGITAL LOGIC DESIGN LAB Computer Interfacing Lab 1st Floor, Department of Electrical Engineering UNIVERSITY OF ENGINEERING & TECHNOLOGY, LAHORE-54890, PAKISTAN. Ph: + 92 42 9029229, Fax: + 92 42 9250224 url: www.uet.edu.pk