Five Emerging DRAM Interfaces You Should Know for Your Next Design

Similar documents
Low Power DRAM Evolution

Hybrid Memory Cube (HMC)

Mobile DRAM Standard Formulation

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Addressing the Memory Wall

LPDDR4: Evolution for new Mobile World

Introduction. SK hynix

Interposer Technology: Past, Now, and Future

Low Power & High Bandwidth Memory Trend

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Samsung Memory DDR4 SDRAM

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Thermal Management Challenges in Mobile Integrated Systems

Start Your HBM/2.5D Design Today

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot

High Performance DDR4 interfaces with FPGA Flexibility. Adrian Cosoroaba and Terry Magee Xilinx, Inc.

Extending the Benefits of GDDR Beyond Graphics

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

Architectural Options for LPDDR4 Implementation in Your Next Chip Design

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

Unleashing the Power of Embedded DRAM

Technical Note. GDDR5X: The Next-Generation Graphics DRAM. Introduction. TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM.

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

High-Speed DDR4 Memory Designs and Power Integrity Analysis

BREAKING THE MEMORY WALL

Kevin Donnelly, General Manager, Memory and Interface Division

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

IMEC CORE CMOS P. MARCHAL

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech

TETRIS: Scalable and Efficient Neural Network Acceleration with 3D Memory

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models

Supercharge Your Applications with Samsung High Bandwidth Memory

The Evolution of Mobile

Packaging Technology for Image-Processing LSI

Stacked Silicon Interconnect Technology (SSIT)

Chapter 5: ASICs Vs. PLDs

VISUALIZING THE PACKAGING ROADMAP

Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014

CSE 431 Computer Architecture Fall Chapter 5A: Exploiting the Memory Hierarchy, Part 1

TechSearch International, Inc.

Overview of packaging DRAMs and use of RDL

Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge

Advancing high performance heterogeneous integration through die stacking

Chapter 0 Introduction

Technical Note Designing for High-Density DDR2 Memory

Green Memory Solution. Jung-Bae Lee

TechSearch International, Inc.

EEM 486: Computer Architecture. Lecture 9. Memory

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

High Performance Memory Opportunities in 2.5D Network Flow Processors

Computer Systems Laboratory Sungkyunkwan University

Selecting a Flash Memory Solution for Embedded Applications

Thermal-Aware Memory Management Unit of 3D- Stacked DRAM for 3D High Definition (HD) Video

The 3D-Memory Evolution

Xilinx SSI Technology Concept to Silicon Development Overview

15-740/ Computer Architecture Lecture 19: Main Memory. Prof. Onur Mutlu Carnegie Mellon University

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

ECE 486/586. Computer Architecture. Lecture # 2

Future Memories. Jim Handy OBJECTIVE ANALYSIS

TechSearch International, Inc.

3D Graphics in Future Mobile Devices. Steve Steele, ARM

Main Memory Systems. Department of Electrical Engineering Stanford University Lecture 5-1

DDR3 Memory for Intel-based G6 Servers

How Design IP Can Accelerate and Simplify Development of Enterprise-Level Communications and Storage Systems

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

High performance HBM Known Good Stack Testing

Eliminating Routing Congestion Issues with Logic Synthesis

Memory as We Approach a New Horizon

100 GBE AND BEYOND. Diagram courtesy of the CFP MSA Brocade Communications Systems, Inc. v /11/21

Bringing 3D Integration to Packaging Mainstream

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

DesignWare IP for IoT SoC Designs

Wi-Fi 6 What s It All About?

Efficient Verification of Mixed-Signal SerDes IP Using UVM

The Memory Hierarchy 1

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Boosting the Performance of FPGA-based Graph Processor using Hybrid Memory Cube: A Case for Breadth First Search

Making Informed Memory Choices FTF-IND-F0378

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

EFFICIENTLY ENABLING CONVENTIONAL BLOCK SIZES FOR VERY LARGE DIE- STACKED DRAM CACHES

3DIC and the Hybrid Memory Cube

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Thermal Considerations in Package Stacking and Advanced Module Technology

All Programmable: from Silicon to System

Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs

Performance Evaluation of FDDI, ATM, and Gigabit Ethernet as Backbone Technologies Using Simulation

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Transcription:

Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market is no easy feat, and demands for increased bandwidth, low power consumption, and small footprint don t help. This paper reviews and compares five next-generation DRAM technologies LPDDR, LPDDR, Wide I/O, HBM, and HMC that address these challenges. Contents Introduction... Mobile Ramping Up DRAM Demands... LPDDR: Addressing the Mobile Market... LPDDR: Optimized for Next- Generation Mobile Devices... Wide I/O : Supporting D-IC Packaging for PC and Server Applications... HMC: Breaking Barriers to Reach G... HBM: Emerging Standard for Graphics... Which Memory Standard Is Best for Your Next Design?... Summary... Footnotes... Introduction Because dynamic random-access memory (DRAM) has become a commodity product, suppliers are challenged to continue producing these chips in increasingly high volumes while meeting extreme price sensitivities. It s no easy feat, considering the ongoing demands for increased bandwidth, low power consumption, and small footprint from a variety of applications. This paper takes a look at five next-generation DRAM technologies that address these challenges. Mobile Ramping Up DRAM Demands Notebook and desktop PCs continue to be significant consumers of DRAM; however, the sheer volume of smartphones and tablets is driving rapid DRAM innovation for mobile platforms. The combined pressures of the wired and wireless world have led to development of new memory standards optimized for the differing applications. For example, rendering the graphics in a typical smartphone calls for a desired bandwidth of GB/s a rate that a two-die Low-Power Double Data Rate (LPDDR) X memory subsystem meets efficiently. At the other end of the spectrum, a next-generation networking router can require as much bandwidth as GB/s a rate for which a two-die Hybrid Memory Cube (HMC) subsystem is best suited. LPDDR and HMC are just two of the industry s emerging memory technologies. Also available (or scheduled for mass production in the next couple of years) are LPDDR, Wide I/O, and High Bandwidth Memory (HBM). But why deal with all of these different technologies? Why not just increase the speed of the DRAM you are already using as your application requirements change? Unfortunately, core DRAM access speed has remained pretty much unchanged over the last years and is limited by the RC time constant of a row line. For many applications, core throughput (defined as row size * core frequency) is adequate and the problem is then reduced to a tradeoff between the number of output bits versus output frequency (LPDDR, LPDDR, Wide I/O, and

HBM are among the memory subsystems that address these concerns). However, if an application requires more bandwidth than the core can provide, then multiple cores must be used to increase throughput (HMC subsystems can be used in these scenarios). Increasing DRAM bandwidth is not an effort without tradeoffs. While bandwidth is primarily limited by I/O speed, increasing I/O speed by more bits in parallel or higher speeds comes with a power, cost, and area penalty. Power, of course, remains an increasing concern, especially for mobile devices, where the user impact is great when battery life is short and/or the devices literally become too hot to handle. Additionally, increasing package ball count results in increased cost and board area. The emerging DRAM technologies represent different approaches to address the bandwidth, power, and area challenges. In this paper, we ll take a closer look at the advantages and disadvantages of five memory technologies that are sure to play integral roles in next-generation designs. LPDDR: Addressing the Mobile Market Published by the JEDEC standards organization in May, the LPDDR standard (Figure ) was designed to meet the performance and memory density requirements of mobile devices, including those running on G networks. Compared to its predecessor, LPDDR provides a higher data rate (,Mb/s), more bandwidth (.8GB/s), higher memory densities, and lower power. LPDDR and LPDDRE Die Organization ch X 8 banks X I/O b I/O (Ch).GByte/s BW Mbps I/O Channel # # Density Page Size 8 Gb - Gb KByte Figure. LPDDR architecture To achieve the goal of higher performance at lower power, three key changes were introduced in LPDDR: lower I/O capacitance, on-die termination (ODT), and new interface training modes. Interface training modes include write-leveling and command/address training. These features help improve timing queues and timing closure, and also ensure reliable communication between the device and the system on chip (SoC). The mobile memory standard also features lower I/O capacitance, which helps meet the increased bandwidth requirement with increased operating frequency at lower power. LPDDR: Optimized for Next-Generation Mobile Devices LPDDR (Figure ) is the latest standard from JEDEC, expected to be in mass production in. The standard is optimized to meet increased DRAM bandwidth requirements for advanced mobile devices. LPDDR offers twice the bandwidth of LPDDR at similar power and cost points. To maintain power neutrality, a low-swing GND terminated interface (LVSTL) with data bus inversion has been proposed. Lower page size and multiple channels are other innovations used to limit power. For cost reduction, the standard LPDDRx core architecture and packaging technologies have been reused with selected changes such as a reduction of the command/address bus pin count. www.cadence.com

Independent Channels KB Page Channel A CH-A CA 8 s per Channel CH-B CA Channel B Figure. LPDDR architecture Wide I/O : Supporting D-IC Packaging for PC and Server Applications The Wide I/O standard (Figure ), also from JEDEC and expected to reach mass production in, covers highbandwidth.d silicon interposer and D stacked die packaging for memory devices. Wide I/O is designed for high-end mobile applications that require high bandwidth at the lowest possible power. This standard uses a significantly larger I/O pin count at a lower frequency. However, stacking reduces interconnect length and capacitance and eliminates the need for ODT. This results in the lowest I/O power for higher bandwidth. Wide I/O Die Organization ch X 8 banks X I/O b b b b I/O (ch) I/O (ch) I/O (ch) I/O (ch).gbyte/s BW 8Mbps I/O.GByte/s BW 8Mbps I/O.GByte/s BW 8Mbps I/O.GByte/s BW 8Mbps I/O Channel # # Density Page Size and 8 per die 8Gb - Gb KByte (ch die), KByte (8ch die) Figure. Wide I/O architecture www.cadence.com

In.D stacking, two dies are flipped over and placed on top of an interposer. All of the wiring is on the interposer, making the approach less costly than D stacking but requiring more area. Heat dissipation is not much of a concern, since cooling mechanisms can be placed on top of the two dies. This approach is also lower cost and more flexible than D stacking because faulty connections can be reworked. There are electronic design automation (EDA) tools on the market that help designers take advantage of redundancy at the logic level to minimize device failures. For example, Cadence Encounter Digital Implementation allows designers to route multiple redistribution (RDL) layers into a microbump, or to use combination bumps. In this scenario, if one bump falls, the remaining bumps can carry on normal operations. With D stacking, heat dissipation can be an issue there isn t yet an easy way to cool the die in the middle of the stack, and that die can heat up the top and bottom dies. Poor thermal designs can limit the data rate of the IC. In addition, a connection problem especially one occurring at the middle die renders the entire stack useless. HMC: Breaking Barriers to Reach G HMC (Figure ) is being developed by the Hybrid Memory Cube Consortium and backed by several major technology companies, including Samsung, Micron, ARM, HP, Microsoft, Altera, and Xilinx. HMC is a D stack that places DRAMs on top of logic. This architecture, expected to be in mass production in, essentially combines high-speed logic process technology with a stack of through-silicon-via (TSV) bonded memory die. In an example configuration, each DRAM die is divided into cores and then stacked. The logic base is at the bottom, with different logic segments, each segment controlling the four or eight DRAMs that sit on top. This type of memory architecture supports more DRAM I/O pins and, therefore, more bandwidth (as high as G). According to the Hybrid Memory Cube Consortium, a single HMC can deliver more than X the performance of a DDR module and consume % less energy per bit than DDR. Logic Base Vault Control Vault Control Vault Control Vault Control Memory Control Crossbar Switch Processor Links DRAM Vault Logic Base Figure. HMC architecture www.cadence.com

HMC uses a packetized protocol on a low-power SerDes interconnect for I/O. Each cube can support up to four links with up to lanes. With HMC, design engineers will encounter some challenges in serialized packet responses. When commands are issued, the memory cube may not process these commands in the order requested. Instead, the cube reorders commands to maximize DRAM performance. Host memory controllers thus need to account for command reordering. HMC provides the highest bandwidth of all the technologies considered in this paper, but this performance does come at a higher price than other memory technologies. HBM: Emerging Standard for Graphics HBM (Figure ) is another emerging memory standard defined by the JEDEC organization. HBM was developed as a revolutionary upgrade for graphics applications. GDDR was defined to support 8GB/sec (Gbps x). Extending the GDDRx architecture to achieve a higher throughput while improving performance/watt was thought to be unlikely. Expected to be in mass production in, the HBM standard applies to stacked DRAM die, and is built using TSV technologies to support bandwidth from 8GB/s to GB/s. JEDEC s HBM task force is now part of the JC-. Subcommittee, which continues to work to define support for up to 8-high TSV stacks of memory on a,-bit wide data interface. According to JEDEC, the interface would be partitioned into eight independently addressable channels supporting a -byte-minimum access granularity per channel. There is no command reordering, which allows the graphics controller to optimize access to memory. The subcommittee expects to publish the standard in late. Four DRAM Dies Ch Ch Ch Ch Ch Ch Ch Ch Figure. HBM architecture Which Memory Standard Is Best for Your Next Design? As this paper has discussed, each emerging memory standard tackles the power, performance, and area challenges in a different way. There are tradeoffs from one to another, with each optimized for a particular application or purpose. How do you select the right memory standard for your design? Obviously, the basis for your decision will be your application s requirements. When considering a smartphone, for example, you may decide between Wide I/O and LPDDR. Because thermal characteristics are critical in smartphones, the industry consensus has turned to Wide I/O as the best choice. Wide I/O meets heat dissipation, power, bandwidth, and area requirements. However, it is more costly than LPDDR. LPDDR, on the other hand, also provides advantages in bandwidth, TSV readiness, and software support. Given its lower silicon cost, LPDDR may be more ideal for cost-sensitive mobile markets. On the other end of the application spectrum, consider high-end computer graphics processing, where chip complexity is a given and high-resolution results are expected. Here, you might look to the higher bandwidth HBM technology. Computer graphics applications are less constrained by cost than, say mobile devices, so the higher expense of HBM memory may be less of an issue. Table compares the features of the five standards discussed here. www.cadence.com

Memory Standard Mass Production Year Bandwidth (GB/s) Package Density (GB) Power Efficiency (mw/gb/s) Approximate Relative Cost Per Bit Cadence and PHY IP LPDDR - Yes LPDDR. -8 ~. Yes Wide I/O. -8 HMC - HBM 8-8 Table : Where does each memory standard stand? To help integrate your design at the register-transfer level, EDA companies like Cadence offer IP portfolios for memory subsystems. Cadence has controller and PHY IP for a broad array of standards, including many of those discussed in this paper. Cadence also provides memory model verification IP (VIP) to verify memory interfaces and ensure design correctness. Additionally, tools such as Cadence Interconnect Workbench allow the SoC designer to optimize the performance of memory subsytems through a choice of memory controller parameters such as interleaving, command queue depths, and number of ports. These tools can help speed up SoC development and ensure first-pass success. Summary Choosing the right DRAM technology for your design requires careful consideration. There are a variety of architectures that are either available now or will soon hit the market. Each has its strengths and weaknesses in terms of meeting bandwidth, power, cost, and other key specifications; your specific application and market requirements should guide you in making the right choice for your next design. Footnotes Source: Mobile DDR, Wikipedia: http://en.wikipedia.org/wiki/mobile_ddr Source: Kristin Lewotsky, Industry view: JEDEC on LPDDR, EE Times; http://www.eetimes.com/electronicsblogs/other/8/industry-view--jedec-on-lpddr Source: Daniel Skinner, LPDDR Moves Mobile, JEDEC Mobile Forum : http://www.jedec.org/sites/default/ files/d_skinner_mobile_forum_may.pdf Source: About Hybrid Memory Cube, Hybrid Memory Cube Consortium: http://hybridmemorycube.org/ technology.html Source: D-ICs, JEDEC: http://www.jedec.org/category/technology-focus-area/d-ics- Cadence Design Systems enables global electronic design innovation and plays an essential role in the creation of today s electronics. Customers use Cadence software, hardware, IP, and expertise to design and verify today s mobile, cloud and connectivity applications. www.cadence.com Cadence Design Systems, Inc. All rights reserved. Cadence, the Cadence logo, and Encounter are registered trademarks of Cadence Design Systems, Inc. 988 / CY/DM/PDF