ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Similar documents
First hour Zynq architecture

AXI Protocol AMBA. Specification. v1.0. Copyright 2003, 2004 ARM Limited. All rights reserved. ARM IHI 0022B

AXI and OCP protocol Interface for Sytem on Chip

OCB-Based SoC Integration

Copyright 2016 Xilinx

AMBA 3 AXI. Protocol Checker. User Guide. r0p1. Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C (ID071309)

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

System Cache v1.01.a. Product Guide. PG031 July 25, 2012

LogiCORE IP AXI Video Direct Memory Access v4.00.a

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI BRAM Controller (v1.01a)

LogiCORE IP AXI DMA (v4.00.a)

Yet Another Implementation of CoRAM Memory

LogiCORE IP AXI DMA (v3.00a)

Zynq Architecture, PS (ARM) and PL

AXI Bus Functional Models v2.1

Title: Using Test-IP Based Verification Techniques in a UVM Environment

LogiCORE IP AXI DataMover v3.00a

ISSN: [Mahajan* et al., 5(12): December, 2016] Impact Factor: 4.116

AMBA 3 AHB Lite Bus Architecture

AXI Bus Functional Model v1.1

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a)

Designing Embedded AXI Based Direct Memory Access System

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

LogiCORE IP Block Memory Generator v6.1

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Midterm Exam. Solutions

LogiCORE IP AXI Video Direct Memory Access v5.00.a

The CoreConnect Bus Architecture

Digital Blocks Semiconductor IP

Chapter 6 Storage and Other I/O Topics

Lecture 10 Introduction to AMBA AHB

LogiCORE IP AXI DMA v6.01.a

EECS 373 Design of Microprocessor-Based Systems

LogiCORE IP Block Memory Generator v7.1

Ref: AMBA Specification Rev. 2.0

White Paper AHB to Avalon & Avalon to AHB Bridges

LogiCORE IP AXI DMA v6.02a

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset

DMA-330 DMA Controller. CoreLink. Technical Reference Manual. Revision: r1p2

AXI HW/SW VERIFICATION FOR FPGA. Bruno Bratti Principal Engineer, Wave Semiconductor

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. -

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

Digital Blocks Semiconductor IP

Network Interconnect NIC-301. CoreLink. Technical Reference Manual. Revision: r2p3

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

NIC-400 Network Interconnect. CoreLink. Technical Reference Manual. Revision: r0p0. Copyright 2012 ARM. All rights reserved. ARM DDI 0475A (ID081712)

FPGA memory performance

Verification of AMBA AXI4 Protocol Using UVM

DMA Controller DMA-330 AMBA. Technical Reference Manual. Revision: r1p0

Embedded Systems 1: On Chip Bus

ARM System-Level Modeling. Platform constructed from welltested

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

AMBA Protocol for ALU

Data Side OCM Bus v1.0 (v2.00b)

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

AXI Reference Guide. [Guide Subtitle] [optional] UG761 (v13.2) July 6, 2011 [optional]

AMBA AHB Bus Protocol Checker

Interconnects, Memory, GPIO

Design of Hardware Bus Monitoring Unit (HBMU) for Generic Power Management Unit (GPMU) in Soc

LogiCORE IP Mailbox (v1.00a)

LogiCORE IP SPI-4.2 v12.2

AXI4-Stream Infrastructure IP Suite

ARM. CoreLink. NIC-400 Network Interconnect. Technical Reference Manual. Revision: r1p0

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

4. Functional Description HPS Memory Controller

Stereo Vision IP Core

SoC Platforms and CPU Cores

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

ECE 551 System on Chip Design

UG0644 User Guide. DDR AXI Arbiter. February 2018

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Design & Implementation of AHB Interface for SOC Application

SYSTEM INTEGRATION AND PERFORMANCE. EVALUATION OF WiNC2R PLATFORM FOR a LIKE PROTOCOL

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

Implementation of Interface between AXI and DDR3 memory Controller for SoC

Chapter 2 The AMBA SOC Platform

Effective System Design with ARM System IP

DMA Core Reference Guide

INTERFACING INTERFACING. Richa Upadhyay Prabhu. February 29, 2016

Buses. Maurizio Palesi. Maurizio Palesi 1

7 Series FPGAs Memory Interface Solutions (v1.9)

Tips for Making Video IP Daniel E. Michek. Copyright 2015 Xilinx.

2. System Interconnect Fabric for Memory-Mapped Interfaces

SoC Interconnect Bus Structures

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

LogiCORE IP PLBV46 to AXI Bridge (v2.01.a)

Zynq-7000 All Programmable SoC Product Overview

Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

ARM CoreLink CCI-500 Cache Coherent Interconnect

Transcription:

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Interconnects: AXI Protocol ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1

AXI AMBA AXI protocol is targeted at high-performance, highfrequency system designs AXI key features Separate address/control and data phases Support for unaligned data transfers using byte strobes Separate read and write data channels to enable low-cost Direct Memory Access (DMA) Ability to issue multiple outstanding addresses Out-of-order transaction completion Easy addition of register stages to provide timing closure 2

5 Independent s Read address channel and Write address channel Variable length burst: 1 ~ 16 data transfers Burst with a transfer size of 8 ~ 1024 bits (1B ~ 1KB) Read data channel Convey data and any read response info. Data bus can be 8, 16, 32, 64, 128, 256, 512, or 1024 bits Write data channel Data bus can be 8, 16, 32, 64, 128, 256, 512, or 1024 bits Write response channel Write response info. 3

AXI Read Operation Read Address Read Response RREADY: From master, indicate that master can accept the read data and response info. 4

AXI Write Operation Write Address Write Data Write Response WVALID Source: Master WREADY Source: Slave BVALID Source: Slave BREADY Source: Master 5

Out-of-order Completion AXI gives an ID tag to every transaction Transactions with the same ID are completed in order Transactions with different IDs can be completed out of order 6

ID Signals Write Address Write Data Write Response Read Address Read Response 7

Out-of-order Completion Out-of-order transactions can improve system performance in 2 ways Fast-responding slaves respond in advance of earlier transactions with slower slaves Complex slaves can return data out of order o A data item for a later access might be available before the data for an earlier access is available If a master requires that transactions are completed in the same order that they are issued, they must all have the same ID tag It is not a required feature Simple masters and slaves can process one transaction at a time in the order they are issued 8

Addition of Register Slices AXI enables the insertion of a register slice in any channel at the cost of an additional cycle latency Trade-off between latency and maximum frequency It can be advantageous to use Direct and fast connection between a processor and high-performance memory Simple register slices to isolate a longer path to less performancecritical peripherals 9

AXI-Lite The AXI4-Lite interface is a subset of the AXI4 interface intended for communication with control registers in components The aim of AXI4-Lite is to allow simple component interfaces to be built that are smaller and also require less design and validation effort Having a defined subset of the full AXI4 interface allows many different components to be built using the same subset and also allows a single common conversion component to be used to move between AXI4 and AXI4-Lite interfaces 10

AXI-Lite No burst Data width 32 or 64 only Simple logic to connect AXI4 master to AXI4-Lite slave Reflect master s transaction ID This is best for simple systems with minimal peripherals AXI4-Lite Read AXI4-Lite Write 11

AXI-Lite Signal list Subset of AXI signal set Simple traditional signaling Targeted applications: simple, low-performance peripherals GPIO Uart Lite 12

AXI-Stream No address channel Not read and write, always master to slave Unlimited burst length AXI4-Streaming Transfer 13

AXI Additional Features ID fields for each of the five channels facilitate overlapped transactions Provides for a transaction tag Transaction burst type determines address bus behavior Fixed, increment, or wrap Optional address Lock signals facilitates exclusive and atomic access protection System cache support Protection unit support Error support Unaligned address 14

Documentation ARM specifications AMBA AXI Protocol Version 2.0 AMBA 4 AXI4-Stream Protocol Version 1.0 http://infocenter.arm.com/help/topic/com.arm.doc.set.amba Xilinx AXI Reference Guide, UG761 AXI Usage in Xilinx FPGAs o Introduce key concepts of the AXI protocol o Explains what features of AXI Xilinx has adopted 15