DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY

Similar documents
Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Ternary Content Addressable Memory Types And Matchline Schemes

Power Gated Match Line Sensing Content Addressable Memory

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

Optimized CAM Design

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

Design of low power Precharge-Free CAM design using parity based mechanism

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

Content Addressable Memory Using Automatic Charge Balancing with Self-Control Mechanism and Master-Slave Match Line Design

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

A Survey on Design of Content Addressable Memories

Content Addressable Memory performance Analysis using NAND Structure FinFET

A Low Power Content Addressable Memory Implemented In Deep Submicron Technology

EMDBAM: A Low-Power Dual Bit Associative Memory with Match Error and Mask Control

Design of Low Power Wide Gates used in Register File and Tag Comparator

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Content Addressable Memory with Efficient Power Consumption and Throughput

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

High Performance and Energy Efficient SRAM-based Architecture for TCAM

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

MTJ-Based Nonvolatile Logic-in-Memory Architecture

Implementation of SCN Based Content Addressable Memory

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 5 / JAN 2018

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

A Novel Architecture of SRAM Cell Using Single Bit-Line

Design of Read and Write Operations for 6t Sram Cell

Area Efficient Z-TCAM for Network Applications

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

Design of 6-T SRAM Cell for enhanced read/write margin

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Design of a Low Power Content Addressable Memory (CAM)

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Content Addressable Memory Architecture based on Sparse Clustered Networks

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

A REVIEW ON LOW POWER SRAM

554 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 5, MAY 2008

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Resource-Efficient SRAM-based Ternary Content Addressable Memory

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

Implementation of Asynchronous Topology using SAPTL

Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memories

Low Power and Improved Read Stability Cache Design in 45nm Technology

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

ECEN 449 Microprocessor System Design. Memories. Texas A&M University

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VI /Issue 4 / AUG 2016

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

A Comparative Study of Power Efficient SRAM Designs

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

Column decoder using PTL for memory

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

Novel low power CAM architecture

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

THE latest generation of microprocessors uses a combination

Minimizing Power Dissipation during Write Operation to Register Files

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

ECEN 449 Microprocessor System Design. Memories

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Efficient Current Mode Sense Amplifier for Low Power SRAM

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

[Kalyani*, 4.(9): September, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

A Comprehensive Test and Diagnostic Strategy for TCAMs

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

A Single Ended SRAM cell with reduced Average Power and Delay

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

Design and verification of low power SRAM system: Backend approach

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Survey on Content Addressable Memory and Sparse Clustered Network

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

VERY large scale integration (VLSI) design for power

Lecture 20: CAMs, ROMs, PLAs

CENG 4480 L09 Memory 3

Implementation of DRAM Cell Using Transmission Gate

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Transcription:

DESIGN ANDIMPLEMENTATION OF EFFICIENT TERNARY CONTENT ADDRESSABLE MEMORY Gangadhar Akurathi 1, Suneel kumar Guntuku 2 and K.Babulu 3 1 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India 2 Department of ECE, JNTUK-UCEV, Vizianagaram, Andhra Pradesh, India 3 Department of ECE, JNTUK-UCEK, Kakinada, Andhra Pradesh, India ABSTRACT A CAM is used for store and search data and using comparison logic circuitry implements the table lookupfunction in a single clock cycle. CAMs are main application of packet forwarding and packet classification in Network routers. A Ternary content addressable memory(tcam) has three type of states 0, 1 and X (don t care) and which is like as binary CAM and has extra feature of searching and storing. The X option may be used as 0 and 1. TCAM performs high-speed search operation in a deterministic time. In this work a TCAM circuit is designed by using current race sensing scheme and butterfly matchline (ML) scheme. The speed and power measures of both the TCAM designs are analysed separately. A Novel technique is developed which is obtained by combining these two techniques which results in significant power and speed efficiencies. KEYWORDS Content Addressable Memory (CAM) Circuit, XOR-based conditional keeper, Ternary Content Addressable Memory (TCAM)Circuit,Pseudo-Footless Clock Data Pre-charge Dynamic Match line (PF- CDPD)Architecture. 1. INTRODUCTION Ternary Content Addressable Memory (TCAM) is the useful for search and store ternary values and used for partial data matching. TCAMs are composition of conventionaltype semiconductor memory with addition of comparison circuitry. The most common application of TCAMs arepacket forwarding and packet classification. Fig 1(a) Conventional TCAM (b) Address lookup with TCAM/RAM DOI: 10.5121/ijci.2016.5430 279

A TCAM contains two parts.static RAM cells and a comparison logic circuit. These are shown in Fig.1.Both NOR and NAND versions are used to design TCAMs. But NOR type is used to design TCAM because higher speed. The stored data (D1, D2) is referred as three type of states such as 1, 0 and don t care(x).search data (SL1, SL2) is present and it is provided through search line pair. In case of a mismatch the ML is connected to ground through one of the paths M1,M2 or M3,M4.In the case of a match (D1D2=SL1SL2) there is no connection to ground. So, reduction ofpower consumption is reduced to design TCAM. Fig1 (b) shows TCAM system as a complete implementation of an address lookup function. The match address output of the CAM is in fact a pointer used to retrieve associated data from RAM. In this case the associated data is the output. The TCAM search can be viewed as a dictionary lookup where the search data is word to be queried. 2. MATCH LINE TECHNIQUES 2.1.1 Current Race Scheme The Current Race Scheme is the one of the matchline techniques. The scheme achieves the 50% of power saving. The scheme starts with the precharges the matchline (ML) low and evaluatesmatchline (ML) state is the chargewith the current I ML is placed by a current source. The precharge signal of the matchline low when it starts the prechrging search cycle. thematchline is precharging low, then scheme charges the search lines/match lines to their search data values, eliminating the need for a separate SL precharge phase required by the precharge-high scheme. After the Search Line/Match Line precharge phase completes, current source to matchline is connected to enable signal. In match state the matchline (ML) is in high voltage, while in the miss state it is in low voltage. thevoltage of only I ML x R ML /M. The ML is connected to nmos transistor, M sense. The output of nmos transistor M sense is stored by half latch. The main reason of the ML is precharged low because of the scheme allow changing the CAM cell configuration. Hence there is no charge sharing problem when precharge low in the CAM cell configuration. 2.2.1 Butterfly ML TCAM Fig 2(a) current race scheme The butterfly match-line (ML) TCAM scheme is proposed using pseudo-footless clock data precharge dynamic (PF-CDPD) structure. It is associatedthe each pipelined stage is in the butterfly associationstructure which is utilized for diminish thepower consumption and search time. The powerutilization on the search line is reduced without any search time overhead. A noise-tolerant 280

match-line (ML) scheme with XOR-based conditional keeper is introduced to diminish the power consumption and search time. With the specific end of the goal to reduce the search time overhead caused by butterfly connection style the XOR-based conditional keeper system can decrease delay of critical path of the match-line. Figure 2(b) shows the butterfly connection structure. Thetwo CAM segments are associated in the butterfly connection structure. The two CAM segments are connected using two input NOR-gate, and controlled signal of next stage is generated by the two input NOR-gate output. The proposed four segment butterfly match-line scheme with XOR-based conditional keeper gives the power saving and high performance. 2.3.1 Novel Technique Fig 2(b) butterfly ML TCAM The novel technique is designed by using combining the current race scheme and butterfly matchline (ML) scheme. In the current race scheme the Match Lines are pre-discharged to ground.match Line (ML) enable signal initiates the search operation. During the search operation MLs are charged towards high. Search Lines are need not to be precharged to ground in the technique. This reduced search line switching activity compared to the conventional scheme saves around 50% power. And Current Race scheme reduces the search time also. In the butterfly match-line (ML) in order to reduce search time overhead caused by butterfly connection, a XOR-based conditional keeper technique is applied. The XOR-based conditional keeper is the turned off and it is used for to reduce the search time and power consumption. Due to this butterfly connection style, this circuit has got high-degree of parallelism since it can do search operation of all TCAM cells at a time. Hence, because of this power of this circuit has been reduced considerably when compared to that of conventional TCAM cell. 281

2.3.2 Advantages of Novel Technique The butterfly ML scheme could reduce about 82.2% of match-line power. And current race scheme reduce the delay of 41.2% of match-line power. So, we design a novel technique by combine these two techniques reduce the both power as well as delay of the circuit. 3. SIMULATION RESULTS The Design and the implementation of the power reduction techniques have been carried out in Tanner tool 13.0 version software tool of 0.18µm CMOS technology. The specifications that are followed in the simulation results are shown in the table 3.1. The most power consuming task is the search operation in the TCAM access. The different techniques have been used to reduce power reduction and how far power and delay savings compare to traditional TCAM. Fig 3.1(a) Circuit schematic conventional 4-bit TCAM cell with comparison and storage parts Figure 3.1(a) shows the Schematic conventional 4-bit TCAM cell. Here four TCAM cells are connected in series. Each TCAM cell has comparison logic and storage parts. Fig 3.1(b) Speed analysis of Conventional TCAM Figure 3.1(b) shows the Conventional TCAM Speed analysis. The speed obtained is 2.47 Seconds. 282

Fig 3.1(c) power analysis of Conventional TCAM The figure 3.1(c) shows the power analysis conventional TCAM cell, which is used to compare the power reduced value to thatt of various implemented power reduction techniques. Here the power consumption obtained is 508µW. Fig 3.2(a) Circuit schematic of the proposed current race technique Figure 3.2(a) shows the 4-bit TCAM with proposed current race scheme. The current race scheme is designed by connecting the conventional TCAM with current race scheme part. This is designed in Tanner tools software. Fig 3.2(b) Speed analysis of the proposed current race technique 283

A figure 3.2(b) show the proposed current race scheme speed analysis and obtained the speed is 1.45 Seconds. The speed is better compared to conventional TCAM. Fig 3.2(c) power analysis of the proposed current race technique Figure 3.2(c) shows power analysis of the proposed 4-bit TCAM with the current race technique. During the ML charging phase Current race scheme passes similar currents to both matched and mismatched MLs. So, here in large number of mismatched MLs large amount of energy wasted. Hence the CR scheme is reduce the currents to the mismatched MLs. The obtained power consumption is 212µW.The current race scheme is reduce power is 58% and delay is 41% compared to Conventional TCAM. Fig 3.3(a) Circuit schematic of the 4-segment butterfly ML scheme Figure 3.3(a) shows the 4-segment butterfly match line scheme which is connected the TCAM segments are in pipelined architecture. Four TCAM segments are connected with NOR gate. 284

Fig 3.3(b) speed analysis of the butterfly ML scheme Figure 3.3(b) shows the speed analysis of butterfly match line scheme and obtained speed is 1.69 Seconds. Fig 3.3(c) power analysis of butterfly ML scheme Figure 3.3(c) shows the power analysis of 4-segment butterfly ML TCAM employing TCAM structure of Asymmetric TCAM cell model. Due to this butterfly connection style, the circuit has got high degree of parallelism since it can do search operation of all TCAM cells at a time. Hence because of this power of this circuit has been reducedwhen compared to the conventional TCAM cell. And obtained power is 90µ W.and butterfly ML technique is reduce power is 82% and delay is 31% compared to conventional TCAM. Fig 3.4(a) Schematic implementation of Proposed Novel technique Figure 3.4(a) shows of the schematic implementation of proposed novel technique which is designed by combining the butterfly match line scheme and current race scheme. 285

Fig 3.4 (b) speed analysis of Proposed Novel technique Figure 3.4(b) shows the Speed analysis of proposed novel technique and obtained speed is 1.53Seconds. Fig 3.4(c) power analysis of Proposed Novel technique Figure 3.4(c) shows the power analysis of the Proposed Novel technique and obtained power is 27µW. The Proposed novel technique is designed by combine the current race technique and butterfly ML technique. So combine the both techniques we obtain the power is reduced by 94% and delay is 38% compared to conventional TCAM. Table 3.1: Tabulated Results Proposed Configuration Conventional TCAM Circuit Proposed Current Race Scheme Butterfly Match Line Scheme Proposed Novel Technique Power (µw) Speed(Secs) Power reduced compare to TCAM 508 212 90 27 2.47 Speed increased compare to TCAM 1.45 58.26% 41.29% 1.69 82.28% 31.57% 1.53 94.68% 38.05% 286

CONCLUSIONS International Journal on Cybernetics & Informatics (IJCI) Vol. 5, No. 4, August 2016 An energy efficient Novel ternary content addressable Memory design is proposed in this paper. The reduction of high power consumption and delay which are the limiting factors of TCAM has been achieved by various power reduction techniques which are implemented in 0.18µm CMOS technology. The Novel technique is designed which is reduced power up to 94% and increased speed up to 38.05% compared to Conventional TCAM. REFERENCES [1] Byung-Do Yang, Low-Power Effective Memory-Size Expanded Ternary Content Addressable Memory (TCAM) Using Data-Relocation Scheme, IEEE Journal of Solid State Circuits, Vol.50, No.10, Oct 2015. [2] Ray C.C.Cheung,ManishK.Jaiswal, and Zahid Ullah, Z-TCAM: An SRAM-based Architecture for TCAM, IEEE Trans on very large scale Integration (VLSI) systems, Digital Object Identifier 10.1109/TVLSI.2014.2309350. [3] Kiat Seng Yeo, Shoushun Chen, Anh-Tuan Do, and Zhi-Hui Kong, A High Speed Low Power CAM With a Parity Bit and Power-Gated ML Sensing, IEEE Trans. On very large scale Integration (VLSI) Systems, Vol.21, NO.1, Jan 2013. [4] Shun-Hsun Yang, in-fu Li, and Yu-Jen Huang, A Low-Power Ternary Content Addressable Memory with Pai-Sigma Match lines, IEEE Trans. On very large scale Integration (VLSI) Systems, Vol.20, NO.10, Oct 2012. [5] Byung-Do Yang, Yong-Kyu Lee, Si-Woo Sung, Jae-Joong Min, Jae-Mun Oh, and Hyeong-Ju Kang, A Low Power Content Addressable Memory Using Low Swing Search Lines, IEEE Trans. On circuits and systems-i: Regular Papers, Vol.58, No.12, Dec 2011. [6] Manoj Sachdev, Wilson Fung, Nitin Mohan and Derek Wright, A Low-Power Ternary CAM with Positive-Feedback Match-Line Sense Amplifiers, IEEE Trans. On circuits and systems-i: Regular Papers, Vol.56, No.3, March 2009. [7] Yuan-Hong Liao and Yen-Jen Chang, Hybrid-Type CAM Design for Both Power and Performance Efficiency, IEEE Trans. On very large scale Integration (VLSI) Systems, Vol.16, NO.8, Aug 2008. [8] Baeg.S, Low-power ternary content addressable memory design using a segmented match line, IEEE Trans. Circuits Syst.,Vol. 55,no. 6,pp. 1485-1494, July 2008. [9] Nitin Mohan, Manoj Sachdev and Derek Wright, Wilson Fung, "Design Techniques and Test Methodology for Low-Power TCAMs" IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, No. 6, June 2006. [10] I.Arsovski, A.Sheikholeslami, and T.Chandler, A Ternary content addressable memory based on 4T static storage and including a current race sensing scheme, IEEE J.Solid-State circuits, vol.38, no. 1, pp. 155-158, Jan 2003. 287