VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

Similar documents
VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Test Technology and Reliability (ET4076)

VLSI System Testing. Fault Simulation

Lecture 3 - Fault Simulation

Lecture 7 Fault Simulation

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali

Testing Digital Systems I

Fault Simulation. Problem and Motivation

Lecture 28 IEEE JTAG Boundary Scan Standard

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

Digital Systems Testing

Advanced Digital Logic Design EECS 303

Digital System Design with SystemVerilog

ECE 156B Fault Model and Fault Simulation

VLSI Test Technology and Reliability (ET4076)

CISC Processor Design

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

outline Reliable State Machines MER Mission example

Testing And Testable Design of Digital Systems

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

L2: Design Representations

EE434 ASIC & Digital Systems Testing

Hardware Design and Simulation for Verification

Very Large Scale Integration (VLSI)

Design and Synthesis for Test

Synthesis of Combinational and Sequential Circuits with Verilog

PROOFS Fault Simulation Algorithm

Chapter 9. Design for Testability

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

Lecture 11 Logic Synthesis, Part 2

VHDL for Synthesis. Course Description. Course Duration. Goals

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Chapter 5: ASICs Vs. PLDs

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Testing Digital Systems I

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

SystemC-to-Layout ASIC Flow Walkthrough

VHDL simulation and synthesis

CS 250 VLSI Design Lecture 11 Design Verification

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

Digital Integrated Circuits

12. Use of Test Generation Algorithms and Emulation

Overview of Digital Design with Verilog HDL 1

CHAPTER 1 INTRODUCTION

Logic Verification 13-1

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

On Using Machine Learning for Logic BIST

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

Combinational Equivalence Checking

Example of Digital System Design

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

ICS 252 Introduction to Computer Design

Sequential Circuit Testing 3

INTERCONNECT TESTING WITH BOUNDARY SCAN

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

Additional Slides to De Micheli Book

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Outline of Presentation

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Diagnostic Test Vectors for Combinational and Sequential

Introduction. Sungho Kang. Yonsei University

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Fault Tolerant Computing CS 530 Testing Sequential Circuits

A Parallel Implementation of Fault Simulation on a Cluster of. Workstations

Chapter 1 Overview of Digital Systems Design

EEL 5722C Field-Programmable Gate Array Design

101-1 Under-Graduate Project Digital IC Design Flow

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation

FPGAs: Instant Access

A Fault Model for VHDL Descriptions at the Register Transfer Level *

Digital System Test and Testable Design

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

CAD of VLSI Systems. d Virendra Singh Indian Institute of Science Bangalore E0-285: CAD of VLSI Systems

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Sequential Logic Synthesis

FPGA Design Flow 1. All About FPGA

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

RTL Power Estimation and Optimization

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

Transcription:

VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC

Fault Simulation Jan 27, 2 E-286@SERC 2

Simulation Defined Definition: Simulation refers to modeling of a design, its function and performance. A software simulator is a computer program; an emulator is a hardware simulator. Simulation is used for design verification: Validate assumptions Verify logic Verify performance (timing) Types of simulation: Logic or switch level Timing Circuit Fault Jan 27, 2 E-286@SERC 3

Simulation for Verification Specification Synthesis Response analysis Design Design changes (netlist) Computed responses True-value simulation Input stimuli Jan 27, 2 E-286@SERC 4

Modeling Levels Modeling level Circuit description Signal values Timing Application Function, behavior, RTL Programming language-like HDL, Clock boundary Architectural and functional verification Logic Connectivity of Boolean gates, flip-flops and transistors,, X and Z Zero-delay unit-delay, multipledelay Logic verification and test Switch Transistor size and connectivity, node capacitances, and X Zero-delay Logic verification Timing Circuit Transistor technology data, connectivity, node capacitances Tech. Data, active/ passive component connectivity Analog voltage Analog voltage, current Fine-grain timing Continuous time Timing verification Digital timing and analog circuit verification Jan 27, 2 E-286@SERC 5

True-Value Simulation Algorithms Compiled-code simulation Applicable to zero-delay combinational logic Also used for cycle-accurate synchronous sequential circuits for logic verification Efficient for highly active circuits, but inefficient for low-activity circuits High-level (e.g., C language) models can be used Event-driven simulation Only gates or modules with input events are evaluated (event means a signal change) ) Delays can be accurately simulated for timing verification Efficient for low-activity circuits Can be extended for fault simulation Jan 27, 2 E-286@SERC 6

Compiled-Code Algorithm Step : Levelize combinational logic and encode in a compilable programming language Step 2: Initialize internal state variables (flip- flops) Step 3: For each input vector Set primary input variables Repeat (until steady-state or max. iterations) Execute compiled code Report or save computed variables Jan 27, 2 E-286@SERC 7

Event-Driven Algorithm a = c = b = 2 2 d = 4 e = 2 f = g = Time sta ack t = g 6 4 8 Time, t 7 2 3 4 5 Scheduled events c = d =, e = g = f = Activity list d, e f, g g 8 g = Jan 27, 2 E-286@SERC 8

Time Wheel (Circular Stack) Current time pointer max t= 2 3 4 5 6 7 Event link-list Jan 27, 2 E-286@SERC 9

Efficiency of Event- driven Simulator Simulates events (value changes) only Speed up over compiled-code can be ten times or more; in large logic circuits about. to % gates become active for an input change Steady to event Steady (no event) Large logic block without activity Jan 27, 2 E-286@SERC

Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage - fraction (or percentage) of modeled faults detected by test vectors Set of undetected faults Motivation Determine test quality and in turn product quality Find undetected fault targets to improve tests Jan 27, 2 E-286@SERC

Fault simulator in a VLSI Design Process Verified design netlist Verification input stimuli Fault simulator Test vectors Modeled fault list Remove tested t faults Test compactor Delete vectors Fault Low coverage? Adequate Stop Test generator Add vectors Jan 27, 2 E-286@SERC 2

Fault Simulation Scenario Circuit model: mixed-level Mostly logic with some switch-level for highimpedance (Z) and bidirectional signals High-level models (memory, etc.) with pin faults Signal states: t logic Two (, ) or three (,, X) states for purely Boolean logic circuits Four states (,, X, Z) for sequential MOS circuits Timing Zero-delay for combinational and synchronous circuits Mostly unit-delay for circuits with feedback Jan 27, 2 E-286@SERC 3

Fault Simulation Scenario Faults Mostly single stuck-at faults Sometimes stuck-open, transition, and path-delay faults; analog circuit fault simulators are not yet in common use Equivalence fault collapsing of single stuck-at faults Fault-dropping -- a fault once detected is dropped from consideration as more vectors are simulated; fault-dropping may be suppressed for diagnosis Fault sampling -- a random sample of faults is simulated when the circuit is large Jan 27, 2 E-286@SERC 4

Fault Simulation Algorithms Serial Parallel Deductive Concurrent Jan 27, 2 E-286@SERC 5

Serial Algorithm Algorithm: Simulate fault-free circuit and save responses. Repeat following steps for each fault in the fault list: Modify netlist by injecting one fault Simulate modified netlist, vector by vector, comparing responses with saved responses If response differs, report fault detection and suspend simulation of remaining vectors Advantages: Easy to implement; needs only a true-value simulator, less memory Most faults, including analog faults, can be simulated Jan 27, 2 E-286@SERC 6

Serial Algorithm Disadvantage: Much repeated computation; CPU time prohibitive for VLSI circuits Alternative: Simulate many faults together Test vectors Fault-free circuit Comparator f detected? Circuit with fault f Comparator f2 detected? Circuit with fault f2 Comparator fn detected? Circuit with fault fn Jan 27, 2 E-286@SERC 7

Parallel Fault Simulation Compiled-code method; best with two- states (,) Exploits inherent bit-parallelism of logic operations on computer words Storage: one word per line for two-state simulation Multi-pass simulation: Each pass simulates w- new faults, where w is the machine word length Speed up over serial method ~ w- Not suitable for circuits with timing-critical and non-boolean logic Jan 27, 2 E-286@SERC 8

Parallel Fault Simulation Bit : fault-free circuit Bit : circuit with c s-a- Bit 2: circuit with f s-a- a b c s-a- e c s-a- detected g d f s-a- Jan 27, 2 E-286@SERC 9

Deductive Fault Simulation One-pass simulation Each line k contains a list L k of faults detectable on k Following true-value simulation of each vector, fault lists of all gate output t lines are updated using set-theoretic rules, signal values, and gate input fault lists PO fault lists provide detection data Limitations: Set-theoretic theoretic rules difficult to derive for non- Boolean gates Gate delays are difficult to use Jan 27, 2 E-286@SERC 2

Deductive Fault Simulation Notation: L k is fault list for line k k n is s-a-n fault on line k a b {a } L e = L a U L c U {e } = {a, b, c, e } {b, c } {b } c e d f {b, d } {b, d, f } g L g = (L e L f ) U {g } U = {a, c, e, g } Faults detected by the input vector Jan 27, 2 E-286@SERC 2

Concurrent Fault Simulation Event-driven simulation of fault-free circuit and only those parts of the faulty circuit that differ in signal states from the fault-free free circuit. A list per gate containing copies of the gate from all faulty circuits in which this gate differs. List element contains fault ID, gate input and output values and internal states, if any. All events of fault-free and all faulty circuits are implicitly simulated. Faults can be simulated in any modeling style or detail supported in true-value simulation (offers most flexibility.) Faster than other methods, but uses most memory. Jan 27, 2 E-286@SERC 22

Conc. Fault Simulation Conc. Fault Simulation a b c e a b c e g d f a b c e b d d g f f Jan 27, 2 E-286@SERC 23

Thank You Jan 27, 2 E-286@SERC 24