VLSI Test Technology and Reliability (ET4076)

Similar documents
VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076)

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076)

A Built-in Self-Test for System-on-Chip

ECE 156B Fault Model and Fault Simulation

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

Design and Synthesis for Test

Sequential Circuit Testing 3

VLSI Testing. Lecture Fall 2003

Testing And Testable Design of Digital Systems

Scan Chain Operation for Stuck at Test

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Preizkušanje elektronskih vezij

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

UNIT IV CMOS TESTING

Diagnostic Test Vectors for Combinational and Sequential

Advanced Digital Logic Design EECS 303

!"#$%&'()'*)+,-.,%%(.,-)/+&%#0(.#"&)",1)+&%#0(',.#2)+,-.,%%(.,-3)

MODEL FOR DELAY FAULTS BASED UPON PATHS

Digital Integrated Circuits

On Test Generation for Transition Faults with Minimized Peak Power Dissipation

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Exploiting Arithmetic Built-In Self-Test Techniques for Path Delay Fault Testing

Metodologie di progetto HW Il test di circuiti digitali

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Metodologie di progetto HW Il test di circuiti digitali

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

3. Implementing Logic in CMOS

Design for Testability

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Fault Simulation. Problem and Motivation

Very Large Scale Integration (VLSI)

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Chapter 9. Design for Testability

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Latch Based Design (1A) Young Won Lim 2/18/15

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

10/5/2016. Review of General Bit-Slice Model. ECE 120: Introduction to Computing. Initialization of a Serial Comparator

DFFT : Design For Functional Testability

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

1. Prove that if you have tri-state buffers and inverters, you can build any combinational logic circuit. [4]

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Lecture #1: Introduction

INTERCONNECT TESTING WITH BOUNDARY SCAN

Focus On Structural Test: AC Scan

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

VLSI System Testing. Fault Simulation

VHDL: RTL Synthesis Basics. 1 of 59

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

CS 61C Summer 2012 Discussion 11 State, Timing, and CPU (Solutions)

At-Speed Scan Test with Low Switching Activity

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

HIGH-LEVEL SYNTHESIS

Lecture 28 IEEE JTAG Boundary Scan Standard

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Boundary Scan. Sungho Kang. Yonsei University

Application of Binary Decision Diagram in digital circuit analysis.

Lecture 24: Sequential Logic Design. Let s refresh our memory.

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

Software-Based Delay Fault Testing of Processor Cores

1. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z

Faults, Testing & Test Generation

Nanometer technologies enable higher-frequency designs

Columbia Univerity Department of Electrical Engineering Fall, 2004

Fault-Tolerant Computing

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Redundant States in Sequential Circuits

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Delay Test with Embedded Test Pattern Generator *

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

Digital Design Methodology (Revisited) Design Methodology: Big Picture

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Chapter 4 Implementation of a Test Circuit

Sequential Circuit Design: Principle

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

L11: Major/Minor FSMs

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

A Research Paper on Designing a TAP(Test Access Port)

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

High-level Variable Selection for Partial-Scan Implementation

On Broad-Side Delay Test

R10. II B. Tech I Semester, Supplementary Examinations, May

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures

Transcription:

VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1

Learning aims Define a path delay fault & delay test problem Distinguish between robust and non-robsut test Generate a test for a path delay fault Describe the different delay test methods VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 2

Contents Delay Test Problem Path delay test Robust test versus non-robust test Test generation Other fault models Delay test methodologies Combinational, enhanced-scan and normal-scan Some practical aspects At-speed test, Timing analysis & delay test Summary VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 3

Delay test problem..terminology Delay Fault: A circuit has a delay fault if the delay of one of more paths (not necessary the critical path) exceeds the clock period Critical path: it is the longest delay combinational path of a circuit A Delay Test: a vector-pairs (two-pattern) test that launches transitions at one or more circuit inputs. A fault is detected by sampling one or more circuit outputs after the nominal circuit propagation Delay faults have to be considered to achieve adequate DPM levels Application of 100% FC SAF test at high speed cannot realize a high product quality Special delay tests are required VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 4

Delay test problem..terminology Switching or inertial delay It is the interval between input change & output change of a gate Depends on input capacitance, device (transistor) characteristics, output capacitance of gate, input rise or fall times, states of other inputs (second-order effects), etc. Approximation: fixed rise and fall delays (or min-max delay range, or single fixed delay) for gate output. Propagation delay It is the time a signal even (transition) takes to traverse a path. Consists of device switching delay and interconnect transport delays Depends on transmission line effects (distributed R, L, C parameters, length and loading) of routing paths. Approximation: modeled as lumped delays for gate inputs. See Section 5.3.5 for timing models. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 5

Delay test problem. Digital Circuit Timing PIs POs Transient region Comb. logic Inputs Synchronized With clock Outputs Clock period time The right edge of PO transition region is determined by the delay of longest combinational path activated For a correct operation, delay should not exceed one clock period; otherwise delay fault Delay tests consists of two vectors VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 6

Delay test problem.. Propagation Delay Gates modeled with lumped inertial delays that are integer multiples of a small time unit (1, 2, 3) Critical path is P3 with a delay of 6 If T CLK =7, every path will be faulty if delay exceeds 7 Path P1 0 1 1 3 P2 1 2 4 6 0 2 3 P3 Clk 0 2 5 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 7

Delay test problem.. Propagation Delay Test vector T V = 010 -> 100 Single faulty path If delay of P3 <6, PO=1 irrespective of delays in P1 and P2. Hence T V will NOT detect delay <6 in P1 and P2. Multiple faulty path More than one path is faulty at a time Fault may (may not) be detected (depends on interferences) Path P1 0 1 1 3 P2 1 2 4 6 0 2 3 P3 Clk 0 2 5 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 8

Path delay test. Definitions A path delay fault (PDF): Its is a distributed series of defects along a specific circuit path. Individual delay is insufficient to cause a fail; however, the combined effect does cause a fail (global fault model) An important fault model used in delay testing Each combinational path has two path-delay faults: rising and failing transitions Number of path delay faults in the circuit is twice the number of physical path in the circuits Only single-path delay is considered in practice (not multiple-path delay) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 9

Path delay test. Non-robust test(1) A non-robust test: A test that guarantees the detection of a path-delay fault when no other path-delay fault is present. Singly-testable path-delay fault: A path-delay fault for which a non-robust test exists. Generation of non-robust test: vector pair V1, V2 1. Initiates appropriate transition at the begin of the path 2. Static sensitization of path: all paths input signals for a path under test assume non-controlling values in steady state (following the application of V1). 3. Apply V2 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 10

Path delay test. Non-robust test(2) Generation of non-robust test: rising delay A-B-C Initiation: A=0 Static sensitization of path: realized with A=1 Hence: vector: A= 0-> 1 Fault detected only if A-C has no delay A B C No fault, d(b)=2 A 2/2 B 1/1 C B C Fault, d(b)=4 Fault detected A d(a-c)=4 C Fault not detected 0 1 2 3 4 5 T(CK)=4 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 11

Path delay test. Robust Test(1) A robust test: A test that guarantees the detection of a pathdelay fault of the targeted path, irrespective of delay faults on other paths. It is a combinational vector-pair, V1, V2, that satisfies the following conditions: Produce real events (different steady-state values for V1 and V2) on all on-path signals. All on-path signals must have controlling events arriving via the targeted path. A robust test is also a non-robust test. Concept of robust test is general robust tests for other fault models can be defined VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 12

Path delay test..5 value algebra Generate tests for two consecutive time-frames simultaneously More efficient => Need higher order Boolean algebra A Five-Valued Algebra Signal States:, (F0),, (R1),. E.g., = steady 0, F0=falling 0, R1=raising 1, etc On-path signals: F0 and R1. Off-path signals: F0= and R1=. NOT AND OR Ref.: Lin-Reddy IEEETCAD-87 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 13

Path delay test. Robust Test(2) Robust Test Conditions 1. If a transition is from controlling value to a noncontrolling value, then all off-path inputs must be only at the non-controlling value for the second clock period of path-delay fault (V2) 2. If a transition is from non-controlling value to a controlling value, then all off-path inputs must be set at the steady non-controlling value for both clock periods of path-delay fault (V1 and V2) V1 V2 V1 V2 V1 V2 V1 V2 R1 /R1 F0 /F0 F0 V1 V2 /F0 R1 V1 V2 /R1 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 14

Path delay test.pdfs Two Path Delay Faults PDFs (for each physical path) Rising transition Falling transition. Total number of paths is an exponential function of gates. Critical paths, identified by static timing analysis (e.g., Primetime from Synopsys), must be tested. PDF tests are delay-independent. Robust tests are preferred, but some paths have only non-robust tests. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 15

Path delay test.pdfs Three types of PDFs (JETTA (11), 1997): Singly-testable PDF has a non-robust or robust test. Multiply-testable PDFs a set of singly untestable faults that has a non-robust or robust test. Also known as functionally testable PDFs. Untestable PDF a PDF that is neither singly nor multiply testable. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 16

Path delay test..robust Test Generation Test for falling transition through path P3: Steps A through E F. Set input of AND gate to to justify at output D. F0 interpreted as ; propagates through AND gate A. Place F0 at path origin F0 Path P3 E. Propagate R1 through OR gate R1 F0 B. Propagate F0 through OR gate; also propagates as R1 through NOT gate VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 17 R1 C. Propagate F0 as R1 through NOT gate G. Robust Test is:, F0, i.e., : V1=01X, V2=000

Path delay test Non-Robust Test Generation Test for rising transition through path P2 has no robust test. D. Set input of AND gate to propagate R1 to output R1 R1 E. R1 propagates through OR gate since off-path input is A. Place R1 at path origin R1 Path P2 R1 C. and are implications R1 Non-robust test requires Static sensitization: =, = B. Propagate R1 through OR gate; interpreted as on off-path signal; propagates as through NOT gate Non-robust test:, R1, i.e., V1=X0X, V2=110 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 18

Other Delay Fault Models Transition fault: -- A segment-delay fault with segment of unit length (single gate) Makes the signal change slow (Models spot/gross delay defects) Two faults per gate: slow-to-rise &slow-to-fall Tests are similar to stuck-at fault tests. E.g., To test for slow-to-rise fault test for SA0 1. Initialize the line to 0 (v1) 2. Test for s-a-0 to detect slow-to-rise transition fault (v2) Test vector (v1,v2) created Other models Gate delay Line delay Segment-delay VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 19

Delay Test Methodologies Three methodologies Slow-Clock Combinational Test Useful when ATE cannot apply the vectors at speed test Applies only with circuits with special architecture Enhanced-Scan Test Full flexibility of combinational circuits Test time similar to full scan design Normal-Scan Sequential Test Fault coverage cannot guaranteed and depends on the circuit due to the correlation between the two vectors VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 20

Delay Test Methodologies. Slow-Clock Test Applicable to Combinational circuits Sequential circuits with FFs only at PIs and Pos Clocks should be independently controllable to allow phase delays and skews Input latches Combinational circuit Output latches Input test clock Input test clock Output test clock V1 applied Test clock period V2 applied Rated clock period Output latched Output test clock VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 21

Delay Test Methodologies Enhanced-Scan PI Applicable to sequential circuits Any arbitrary vector-pair can be applied Tests can be generated by considering comb. circuit only Hold latches HL and additional Hold signal Combinational PO CK period SCAN- OUT HL circuit CK TC SFF CK HOLD HOLD HL CK: system clock TC: test control HOLD: hold signal SFF: scan flip-flop HL: hold latch SFF CK TC SCANIN TC Scanin V1 states VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 22 Normal mode V1 PI applied V1 settles Scan mode Scanin V2 states Normal mode V2 PI applied Scanout result Result latched

Delay Test Methodologies Normal-Scan Requires special generation of vector-pair Two options to generate V2 state Scan shift: state portion of V1 is scanned in. The state portions V1 and V2 have to differ in one bit. So scanning in one bit will provide V2 potion state based on the state portion of V1. Functional: state portion of V1 is scanned in. PI SCAN- OUT V1 state and its application at PIs produces automatically V2 state Combinational circuit CK TC SFF SFF CK TC CK: system clock TC: test control SFF: scan flip-flop PO SCANIN Scan shift TC Functional TC Scanin V1 states V1 PIs applied Slow clock Scan mode Gen. V2 states Scan mode Slow CK period V2 PIs applied Path tested Rated CK period VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 23 Normal mode Normal mode Result latched Result scanout t Scan mode Scan mode

Some practical issues At speed testing i.e., application of test vectors at the rated-clock speed. Two methods: External test: Vectors may test one or more functional critical (longest delay) paths and a large percentage (~100%) of transition faults. High-speed testers are expensive/unavailable. Built-in self-test (BIST): Hardware-generated random vectors applied to combinational or sequential logic. Only clock is externally supplied. Non-functional paths that are longer than the functional critical path can be activated and cause a good circuit to fail. Some circuits have initialization problem. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 24

Some practical issues Timing analysis examines combinational paths in the circuit topology No signal values used-> Static time analysis (Like Primetime (Synopsys) ) Time analysis improve the design and test Timing simulation: Critical paths are identified Timing or circuit-level simulation using designergenerated functional vectors verifies the design. Layout optimization: Critical path data are used in placement and routing. Delay parameter extraction, timing simulation and layout are repeated for iterative improvement. Critical path tests: Critical path delay determine the clock period. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 25

Summary Delay models Path-delay fault (PDF) models distributed delay defects. It verifies the timing performance of a manufactured circuit. Transition fault models spot delay defects and is testable by modified stuck-at fault tests. Critical paths of non-scan sequential circuits can be effectively tested by rated-clock tests. Robust and non-robust delay test Path delay test Requires at speed test Mainly using BIST Different methods (e.g., enhanced scan) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 26